Taiwan Semiconductor Manufacturing Company, Ltd.

Taïwan, Province de Chine

Retour au propriétaire

1-100 de 41 722 pour Taiwan Semiconductor Manufacturing Company, Ltd. et 3 filiales Trier par
Recheche Texte
Affiner par
Type PI
        Brevet 41 615
        Marque 107
Juridiction
        États-Unis 41 604
        International 51
        Europe 47
        Canada 20
Propriétaire / Filiale
[Owner] Taiwan Semiconductor Manufacturing Company, Ltd. 41 636
TSMC China Company Limited 196
WaferTech, LLC 49
Taiwan Semiconductor Manufacturing Company 3
Date
Nouveautés (dernières 4 semaines) 400
2025 mai (MACJ) 91
2025 avril 309
2025 mars 356
2025 février 360
Voir plus
Classe IPC
H01L 29/66 - Types de dispositifs semi-conducteurs 10 290
H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée 7 279
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide 5 957
H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif 5 877
H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices 5 290
Voir plus
Classe NICE
40 - Traitement de matériaux; recyclage, purification de l'air et traitement de l'eau 93
42 - Services scientifiques, technologiques et industriels, recherche et conception 85
09 - Appareils et instruments scientifiques et électriques 72
41 - Éducation, divertissements, activités sportives et culturelles 6
16 - Papier, carton et produits en ces matières 2
Voir plus
Statut
En Instance 9 442
Enregistré / En vigueur 32 280
  1     2     3     ...     100        Prochaine page

1.

PACKAGE STRUCTURE AND METHOD FOR FORMING THE SAME

      
Numéro d'application 18493981
Statut En instance
Date de dépôt 2023-10-25
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s) Liao, Wen-Shiang

Abrégé

A package structure includes a first insulating layer, a second insulating layer, a magnetic element, a molding material, and a third insulating layer. The first insulating layer is formed on a substrate, and a first conductive feature is formed in the first insulating layer. The second insulating layer is formed on the first insulating layer. The magnetic element is disposed on the second insulating layer and includes a plurality of dielectric layers and magnetic permeable layers that are alternatively stacked. The molding material covers the magnetic element and the conductive feature, and conductive vias penetrate the second insulating layer and the molding material. The third insulating layer is formed on the molding material, and a second conductive feature is formed in the third insulating layer. The first conductive feature, the conductive vias, and the second conductive feature are electrically connected to form a coil surrounding the magnetic element.

Classes IPC  ?

  • H01L 23/64 - Dispositions relatives à l'impédance
  • H01L 21/56 - Encapsulations, p. ex. couches d’encapsulation, revêtements
  • H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/31 - Encapsulations, p. ex. couches d’encapsulation, revêtements caractérisées par leur disposition
  • H01L 23/498 - Connexions électriques sur des substrats isolants
  • H01L 25/16 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant de types couverts par plusieurs des sous-classes , , , , ou , p. ex. circuit hybrides

2.

SEMICONDUCTOR DEVICES AND METHODS OF MANUFACTURING THEREOF

      
Numéro d'application 19005713
Statut En instance
Date de dépôt 2024-12-30
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Lin, Shih-Yao
  • Lin, Chih-Han
  • Lee, Hsiao Wen

Abrégé

A semiconductor device includes a plurality of semiconductor layers vertically separated from one another. Each of the plurality of semiconductor layers extends along a first lateral direction. The semiconductor device includes a gate structure that extends along a second lateral direction and comprises at least a lower portion that wraps around each of the plurality of semiconductor layers. The lower portion of the gate structure comprises a plurality of first gate sections that are laterally aligned with the plurality of semiconductor layers, respectively, and wherein each of the plurality of first gate sections has ends that each extend along the second lateral direction and present a first curvature-based profile.

Classes IPC  ?

  • H10D 30/67 - Transistors à couche mince [TFT]
  • H01L 21/3065 - Gravure par plasmaGravure au moyen d'ions réactifs
  • H10D 30/01 - Fabrication ou traitement
  • H10D 30/60 - Transistors à effet de champ à grille isolée [IGFET]
  • H10D 62/10 - Formes, dimensions relatives ou dispositions des régions des corps semi-conducteursFormes des corps semi-conducteurs
  • H10D 64/01 - Fabrication ou traitement

3.

Multiple Polymer Layers as the Encapsulant of Conductive Vias

      
Numéro d'application 18420595
Statut En instance
Date de dépôt 2024-01-23
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Tu, Meng-Che
  • Yeh, Po-Nan
  • Wang, Po-Han
  • Hu, Yu-Hsiang
  • Kuo, Hung-Jui

Abrégé

A method includes forming a conductive pillar over and connecting to a conductive pad, dispensing a first polymer layer, wherein the first polymer layer contacts a lower portion of a sidewall of the conductive pillar, curing the first polymer layer, and dispensing a second polymer layer on the first polymer layer. The second polymer layer contacts an upper portion of the sidewall of the conductive pillar. The second polymer layer is then cured.

Classes IPC  ?

  • H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/31 - Encapsulations, p. ex. couches d’encapsulation, revêtements caractérisées par leur disposition

4.

GALLIUM NITRIDE-BASED DEVICES AND METHODS OF TESTING THEREOF

      
Numéro d'application 19005702
Statut En instance
Date de dépôt 2024-12-30
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Lai, Yi-An
  • Chern, Chan-Hong
  • Hsieh, Cheng-Hsiang

Abrégé

An integrated circuit includes a first circuit, formed based on one or more Group III-V compound materials, that is configured to operate with a first voltage range. The integrated circuit includes a second circuit, also formed based on the one or more Group III-V compound materials, that is operatively coupled to the first circuit and configured to operate with a second voltage range, wherein the second voltage range is substantially higher than the first voltage range. The integrated circuit includes a set of first test terminals connected to the first circuit. The integrated circuit includes a set of second test terminals connected to the second circuit. Test signals applied to the set of first test terminals and to the set of second test terminals, respectively, are independent from each other.

Classes IPC  ?

  • G01R 31/28 - Test de circuits électroniques, p. ex. à l'aide d'un traceur de signaux
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

5.

FAN-OUT PACKAGES PROVIDING ENHANCED MECHANICAL STRENGTH AND METHODS FOR FORMING THE SAME

      
Numéro d'application 19004845
Statut En instance
Date de dépôt 2024-12-30
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company Limited (Taïwan, Province de Chine)
Inventeur(s)
  • Chang, Jen-Yuan
  • Lai, Chia-Ping

Abrégé

An array of complementary die sets is attached to a carrier substrate. A continuous complementary-level molding compound layer is formed around the array of complementary die sets. An array of primary semiconductor dies is attached to the array of complementary die sets. A continuous primary-level molding compound layer is formed around the array of primary semiconductor dies. The bonded assembly is diced by cutting along directions that are parallel to edges of the primary semiconductor dies. The sidewalls of the complementary dies are azimuthally tilted relative to sidewalls of the primary semiconductor dies, or major crystallographic directions of a single crystalline material in the carrier substrate are azimuthally tilted relative to sidewalls of the primary semiconductor dies.

Classes IPC  ?

  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
  • H01L 21/56 - Encapsulations, p. ex. couches d’encapsulation, revêtements
  • H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 25/00 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide

6.

SEMICONDUCTOR DETECTOR

      
Numéro d'application 19001338
Statut En instance
Date de dépôt 2024-12-24
Date de la première publication 2025-05-01
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TSING HUA UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • King, Ya-Chin
  • Lin, Chrong Jung
  • Lin, Burn Jeng
  • Wang, Shi-Jiun

Abrégé

A device includes an active region, an isolation structure, a gate structure, an interlayer dielectric (ILD) layer, a reading contact, and a sensing contact. The isolation structure laterally surrounds the active region. The gate structure is across the active region. The ILD layer laterally surrounds the gate structure. The reading contact is in contact with the isolation structure and is separated from the gate structure by a first portion of the ILD layer. The sensing contact is in contact with the isolation structure and is separated from the gate structure by a second portion of the ILD layer.

Classes IPC  ?

  • H10F 30/298 - Dispositifs individuels à semi-conducteurs sensibles au rayonnement dans lesquels le rayonnement commande le flux de courant à travers les dispositifs, p. ex. photodétecteurs les dispositifs ayant des barrières de potentiel, p. ex. phototransistors les dispositifs étant sensibles au rayonnement d'ondes très courtes, p. ex. rayons X, rayons gamma ou rayonnement corpusculaire les dispositifs étant caractérisés par un fonctionnement par effet de champ, p. ex. détecteurs du type MIS
  • H10F 77/20 - Électrodes

7.

INTEGRATED CIRCUIT STRUCTURE

      
Numéro d'application 19002429
Statut En instance
Date de dépôt 2024-12-26
Date de la première publication 2025-05-01
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Chen, Hsia-Wei
  • Sung, Fu-Ting
  • Liao, Yu-Wen
  • Chu, Wen-Ting
  • Jiang, Fa-Shen
  • Yeh, Tzu-Hsuan

Abrégé

An integrated circuit structure includes a plurality of transistors, an interconnect layer, and a memory stack. The interconnect layer includes an interlayer dielectric (ILD) and a conductive structure embedded in the ILD. The conductive structure includes a barrier layer and a conductive filling material surrounded by the barrier layer in a cross-sectional view. The memory stack is over the interconnect layer. The memory stack includes a bottom electrode extending across the conductive structure in the cross-sectional view, a resistance switching layer over the bottom electrode, and a top electrode over the resistance switching layer. In the cross-sectional view, an interface formed by the bottom electrode and the barrier layer has a topmost point higher than a topmost point of an interface formed by the bottom electrode and the conductive filling material.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 23/528 - Configuration de la structure d'interconnexion
  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux

8.

SEMICONDUCTOR DEVICE STRUCTURE AND METHODS OF FORMING THE SAME

      
Numéro d'application 18602354
Statut En instance
Date de dépôt 2024-03-12
Date de la première publication 2025-05-01
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Lin, Tzu-Ging
  • Lin, Hung-Yu
  • Lee, Chia-Chin
  • Lai, Chun-Liang
  • Wu, Yun-Chen

Abrégé

Embodiments of present disclosure relates to forming isolation structures in gate structures to prevent current leakage through source/drain regions (EPI), transistors, and silicon substrate. The isolation structures are arranged in a pattern with a long isolation structure adjacent a short isolation structure. The isolation structures may be formed in the gate structure prior to or after the replacement gate sequence.

Classes IPC  ?

  • H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
  • H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique

9.

PACKAGE STRUCTURE

      
Numéro d'application 18499230
Statut En instance
Date de dépôt 2023-11-01
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Li, Chao-Wei
  • Lan, Chun-Yen
  • Lin, Yu-Wei
  • Chiu, Sheng-Hsiang
  • Chou, Tzu-Ting
  • Lee, Pei-Hsuan
  • Lin, Chih-Wei
  • Hsieh, Ching-Hua

Abrégé

A package structure is provided. The package structure comprises a package substrate, an electronic device, a thermal interface material (TIM), a lid and an insulating encapsulant. The electronic device is disposed on and electrically connected to the package substrate. The TIM is disposed on the electronic device. The lid is disposed on the TIM. The insulating encapsulant is disposed on the package substrate and laterally encapsulates the electronic device and the TIM. A lateral dimension of the TIM is greater than a lateral dimension of the electronic device.

Classes IPC  ?

  • H01L 23/42 - Choix ou disposition de matériaux de remplissage ou de pièces auxiliaires dans le conteneur pour faciliter le chauffage ou le refroidissement
  • H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/31 - Encapsulations, p. ex. couches d’encapsulation, revêtements caractérisées par leur disposition
  • H01L 23/498 - Connexions électriques sur des substrats isolants

10.

CHIP STACKING STRUCTURE

      
Numéro d'application 18499235
Statut En instance
Date de dépôt 2023-11-01
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Kuo, Sheng-An
  • Shih, Chao-Wen
  • Ting, Kuo-Chiang
  • Chen, Yen-Ming

Abrégé

A structure including a first semiconductor die, second semiconductor dies, a bridge die, and a gap filling material is provided. The first semiconductor die includes integrated circuit regions. The second semiconductor dies are disposed over and electrically connected to the first semiconductor die. The bridge die is disposed over and electrically connected to the first semiconductor die, and the integrated circuit regions are electrically connected to each other through the bridge die. The gap filling material is disposed on the first semiconductor die to laterally encapsulate the bridge die and the second semiconductor dies.

Classes IPC  ?

  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
  • H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/31 - Encapsulations, p. ex. couches d’encapsulation, revêtements caractérisées par leur disposition
  • H01L 23/48 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p. ex. fils de connexion ou bornes
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants

11.

SEMICONDUCTOR STRUCTURE AND METHOD FOR MANUFACTURING THE SAME

      
Numéro d'application 18493938
Statut En instance
Date de dépôt 2023-10-25
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s) Liaw, Jhon-Jhy

Abrégé

A semiconductor structure includes a substrate, nanostructures, source/drain features, a gate structure, inner spacers, and dielectric layers. The nanostructures are over the substrate and spaced apart from each other in a Z-direction. The source/drain features are attached to the nanostructures in an X-direction. The gate structure wraps around the nanostructures and extends in a Y-direction. The inner spacers are between the nanostructures in the Z-direction. The dielectric layers are under the inner spacers. Bottom surfaces of the dielectric layers are lower than a bottommost surface of the gate structure.

Classes IPC  ?

  • H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H10B 10/00 - Mémoires statiques à accès aléatoire [SRAM]

12.

INTERCONNECTION STRUCTURE AND MANUFACTURING METHOD THEREOF

      
Numéro d'application 18385435
Statut En instance
Date de dépôt 2023-10-31
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Hung, Han-Tang
  • Kuo, Tsu-Chun
  • Yang, Shin-Yi

Abrégé

An interconnection structure includes a first dielectric layer, a second dielectric layer, a first conductive feature, and a second conductive feature. The second dielectric layer is disposed on one side of the first dielectric layer. The first conductive feature is embedded in the first dielectric layer or the second dielectric layer, the second conductive feature is embedded in the first dielectric layer or the second dielectric layer, wherein the first The conductive feature includes a first conductive material, the second conductive feature includes a second conductive material and a barrier layer, the first conductive material is different from the second conductive material. The first conductive material does not contain copper, and the second conductive material contains copper.

Classes IPC  ?

  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 23/528 - Configuration de la structure d'interconnexion

13.

HEAT DISSIPATION BY NANO PIPES

      
Numéro d'application 18422392
Statut En instance
Date de dépôt 2024-01-25
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Lin, Ming-Hsien
  • Liao, Wen-Che
  • Liao, Kun-Yen
  • Chang, Hsiao-Kang

Abrégé

A contact structure according to the present disclosure includes a conductive feature, an etch stop layer (ESL) over the conductive feature, a dielectric layer over the ESL, and a contact feature extending through the dielectric layer and the ESL to contact the conductive feature. The dielectric layer includes a low-k dielectric matrix material, and nano-pipes disposed in the low-k dielectric matrix material and configured to reduce a thermal resistance of the dielectric layer.

Classes IPC  ?

  • H01L 23/427 - Refroidissement par changement d'état, p. ex. caloducs
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 23/373 - Refroidissement facilité par l'emploi de matériaux particuliers pour le dispositif

14.

SEMICONDUCTOR IMAGE SENSOR AND METHOD OF MANUFACTURING THE SAME

      
Numéro d'application 19004496
Statut En instance
Date de dépôt 2024-12-30
Date de la première publication 2025-05-01
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Kao, Min-Feng
  • Yaung, Dun-Nian
  • Liu, Jen-Cheng
  • Lin, Hsing-Chih
  • Chen, Che-Wei

Abrégé

An image sensor includes a substrate including a first surface and a second surface opposite to the first surface; a plurality of pixel sensors disposed in the substrate, a sensor isolation feature disposed in the substrate defining an active region, and a dielectric layer between the sensor isolation feature and the substrate, wherein the sensor isolation feature comprises a conductive material.

Classes IPC  ?

  • H10F 39/00 - Dispositifs intégrés, ou ensembles de plusieurs dispositifs, comprenant au moins un élément couvert par le groupe , p. ex. détecteurs de rayonnement comportant une matrice de photodiodes

15.

SEMICONDUCTOR STRUCTURE AND METHOD FOR FORMING THE SAME

      
Numéro d'application 19004494
Statut En instance
Date de dépôt 2024-12-30
Date de la première publication 2025-05-01
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Chang, Jen-Yuan
  • Lai, Chia-Ping

Abrégé

A semiconductor structure and a method for forming the semiconductor structure are disclosed. The semiconductor structure includes a first die including a fuse structure in a topmost layer of the first die, the fuse structure including a pair of conductive segments, wherein one of the pair of conductive segments is electrically connected to a bonding pad of the first die, wherein the bonding pad is electrically connected to ground; and an inductor electrically connected to the one of the pair of conductive segments.

Classes IPC  ?

  • H01L 23/525 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées avec des interconnexions modifiables
  • H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/10 - ConteneursScellements caractérisés par le matériau ou par la disposition des scellements entre les parties, p. ex. entre le couvercle et la base ou entre les connexions et les parois du conteneur
  • H01L 23/48 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p. ex. fils de connexion ou bornes
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 23/528 - Configuration de la structure d'interconnexion
  • H10D 1/20 - Inducteurs

16.

SEMICONDUCTOR DEVICE

      
Numéro d'application 19010921
Statut En instance
Date de dépôt 2025-01-06
Date de la première publication 2025-05-01
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Chiang, Kuo-Cheng
  • Hsu, Chung-Wei
  • Chu, Lung-Kun
  • Yu, Jia-Ni
  • Wang, Chih-Hao
  • Huang, Mao-Lin

Abrégé

A semiconductor device is provided. The semiconductor device includes a plurality of first nanostructures formed over a substrate, and a plurality of second nanostructures formed over the substrate. The semiconductor device includes a gate structure surrounding the first nanostructures and the second nanostructures, and the first hard mask layer and the second hard mask layer are surrounded by the gate dielectric layer. The semiconductor device includes an isolation structure extending upwardly above the substrate, and a bottom surface of the isolation structure is lower than a bottommost surface of the gate structure.

Classes IPC  ?

  • H10D 84/03 - Fabrication ou traitement caractérisés par l'utilisation de technologies basées sur les matériaux utilisant une technologie du groupe IV, p. ex. technologie au silicium ou au carbure de silicium [SiC]
  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
  • H01L 21/308 - Traitement chimique ou électrique, p. ex. gravure électrolytique en utilisant des masques
  • H10D 30/01 - Fabrication ou traitement
  • H10D 30/62 - Transistors à effet de champ à ailettes [FinFET]
  • H10D 62/10 - Formes, dimensions relatives ou dispositions des régions des corps semi-conducteursFormes des corps semi-conducteurs
  • H10D 64/01 - Fabrication ou traitement
  • H10D 64/66 - Électrodes ayant un conducteur couplé capacitivement à un semi-conducteur par un isolant, p. ex. électrodes du type métal-isolant-semi-conducteur [MIS]
  • H10D 84/01 - Fabrication ou traitement
  • H10D 84/85 - Transistors IGFET complémentaires, p. ex. CMOS

17.

INTERCONNECT STRUCTURE WITH LOW CAPACITANCE AND HIGH THERMAL CONDUCTIVITY

      
Numéro d'application 18772455
Statut En instance
Date de dépôt 2024-07-15
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Cheng, Kai-Fang
  • Chang, Hsiao-Kang
  • Lee, Ming-Han

Abrégé

Contact structures and methods of forming the same are provided. A contact structure according to the present disclosure includes an etch stop layer (ESL), a first pillar feature and a second pillar feature disposed on the ESL, a metal feature disposed between the first pillar feature and the second pillar feature, the metal feature including a first sidewall, a bottom surface, a second sidewall, and a top surface, a dielectric liner extending continuously from a top surface of the first pillar feature, along the first sidewall, the bottom surface and the second sidewall of the metal feature, and onto a top surface of the second pillar feature, and a gap between the first pillar feature and a portion of the dielectric liner that extends along the first sidewall of the metal feature.

Classes IPC  ?

  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 23/373 - Refroidissement facilité par l'emploi de matériaux particuliers pour le dispositif
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées

18.

ENVIRONMENT DETECTION APPARATUS

      
Numéro d'application 18495719
Statut En instance
Date de dépôt 2023-10-26
Date de la première publication 2025-05-01
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Yang, Ming Da
  • Lin, Chun-Hsuan
  • Yu, Chwen

Abrégé

An environment detection apparatus is provided. In one embodiment, the detection apparatus comprises: a first sensing device, a second sensing device in fluid communication with the first sensing device and a spectrum analyzer electrically connected to the first sensing device and the second sensing device. The first sensing device includes a pair of first electrodes configured to provide a first alternating current signal directly to a gas flowing into the first sensing device. The second sensing device includes a first filter configured to capture a solid in the gas flowing into the second sensing device and a pair of second electrodes configured to provide a second alternating current signal directly to the first filter with the solid captured by the first filter.

Classes IPC  ?

  • G01N 27/02 - Recherche ou analyse des matériaux par l'emploi de moyens électriques, électrochimiques ou magnétiques en recherchant l'impédance
  • G01N 33/00 - Recherche ou analyse des matériaux par des méthodes spécifiques non couvertes par les groupes

19.

Lithography Process and Material for Negative Tone Development

      
Numéro d'application 19010913
Statut En instance
Date de dépôt 2025-01-06
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Chien-Wei
  • Lai, Wei-Han
  • Chang, Ching-Yu

Abrégé

The present disclosure provides resist rinse solutions and corresponding lithography techniques that achieve high pattern structural integrity for advanced technology nodes. An example lithography method includes forming a resist layer over a workpiece, exposing the resist layer to radiation, developing the exposed resist layer using a developer that removes an unexposed portion of the exposed resist layer, thereby forming a patterned resist layer, and rinsing the patterned resist layer using a rinse solution. The developer is an organic solution, and the rinse solution includes water.

Classes IPC  ?

  • G03F 7/32 - Compositions liquides à cet effet, p. ex. développateurs
  • G03F 7/11 - Matériaux photosensibles caractérisés par des détails de structure, p. ex. supports, couches auxiliaires avec des couches de recouvrement ou des couches intermédiaires, p. ex. couches d'ancrage
  • G03F 7/30 - Dépouillement selon l'image utilisant des moyens liquides
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

20.

THERMAL CONDUCTIVE BARRIER LAYER IN INTERCONNECT STRUCTURE

      
Numéro d'application 18425264
Statut En instance
Date de dépôt 2024-01-29
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Chen, Szu-Hua
  • Hu, Kuan-Kan
  • Woon, Wei-Yen
  • Liao, Szuya

Abrégé

A method of forming a semiconductor structure includes forming a conductive feature in a first dielectric layer, forming a second dielectric layer over the conductive feature, forming an opening in the second dielectric layer to expose a top surface of the conductive feature, forming an inhibitor film at the top surface of the conductive feature, depositing a thermal conductive layer having a first portion on sidewalls of the opening and a second portion on a top surface of second dielectric layer, removing the inhibitor film to expose the top surface of the conductive feature, depositing a conductive material in the opening and on the second portion of the thermal conductive layer, removing a portion of the conductive material to expose the second portion of the thermal conductive layer, and forming a third dielectric layer on the second portion of the thermal conductive layer and on the second dielectric layer.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux

21.

SHUTTER DISC FOR A SEMICONDUCTOR PROCESSING TOOL

      
Numéro d'application 19010611
Statut En instance
Date de dépôt 2025-01-06
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Yi-Lin
  • Lee, Chin-Szu
  • Chiu, Hua-Sheng
  • Chang, Yi-Chao
  • Mue, Zih-Shou

Abrégé

Some implementations described herein provide a shutter disc for use during a conditioning process within a processing chamber of a deposition tool. The shutter disc described herein includes a material having a wave-shaped section to reduce heat transfer to the shutter disc and to provide relief from thermal stresses. Furthermore, the shutter disc includes a deposition of a thin-film material on a backside of the shutter disc, where a diameter of the shutter disc causes a spacing between an inner edge of the thin-film material and an outer edge of a substrate support component. The spacing prevents an accumulation of material between the thin film material and the substrate support component, reduces tilting of the shutter disc due to a placement error, and reduces heat transfer to the shutter disc.

Classes IPC  ?

  • C23C 14/34 - Pulvérisation cathodique
  • B08B 7/00 - Nettoyage par des procédés non prévus dans une seule autre sous-classe ou un seul groupe de la présente sous-classe
  • B08B 17/04 - Procédés pour empêcher la salissure pour empêcher le dépôt de crasses ou de poussières par l'emploi de couvertures amovibles
  • C23C 14/50 - Porte-substrat
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01J 37/34 - Tubes à décharge en atmosphère gazeuse fonctionnant par pulvérisation cathodique

22.

INTEGRATED CIRCUIT DEVICE WITH THERMOELECTRIC COOLING

      
Numéro d'application 18597045
Statut En instance
Date de dépôt 2024-03-06
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Lin, Cheng-Ming
  • Shih, Che Chi
  • Woon, Wei-Yen
  • Liao, Szuya
  • Datye, Isha
  • Vaziri, Sam
  • Chen, Po-Yu
  • Wu, Cheng Hung
  • Changchien, Wei-Pin
  • Bao, Xinyu

Abrégé

A thermoelectric cooler (TEC) is positioned to move heat away from a hot spot on a semiconductor chip and toward a dielectric substrate. This approach to thermal management is particularly effective when used in conjunction with a buried rail and back side power delivery. The TEC may be in a layer that contains solder connections be between two device layers an IC package. Alternatively, the TEC may be in a metal interconnect structure over the semiconductor substrate such as in a passivation stack at the top of the metal interconnect structure. TECs at either of these locations may be formed by wafer-level processing.

Classes IPC  ?

  • H01L 23/38 - Dispositifs de refroidissement utilisant l'effet Peltier
  • H01L 21/48 - Fabrication ou traitement de parties, p. ex. de conteneurs, avant l'assemblage des dispositifs, en utilisant des procédés non couverts par l'un uniquement des groupes ou
  • H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/48 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p. ex. fils de connexion ou bornes
  • H01L 23/528 - Configuration de la structure d'interconnexion
  • H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants
  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe

23.

CHARGE PUMP SYSTEM WITH LOW RIPPLE OUTPUT VOLTAGE

      
Numéro d'application 19007022
Statut En instance
Date de dépôt 2024-12-31
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Chou, Chung-Cheng
  • Wang, Tien-Yen

Abrégé

A system includes a charge pump system having a plurality of enable signal input terminals and an output terminal, the charge pump system configured to provide an output voltage at the output terminal; and a detection circuit connected to the enable terminals and the output terminal of the charge pump system, the detection circuit configured to compare the charge pump system output voltage to a plurality of predefined input detection voltage levels, and to selectively output a plurality of enable signals to the charge pump system enable signal input terminals in response to the comparison.

Classes IPC  ?

  • H02M 3/07 - Transformation d'une puissance d'entrée en courant continu en une puissance de sortie en courant continu sans transformation intermédiaire en courant alternatif par convertisseurs statiques utilisant des résistances ou des capacités, p. ex. diviseur de tension utilisant des capacités chargées et déchargées alternativement par des dispositifs à semi-conducteurs avec électrode de commande
  • G11C 5/14 - Dispositions pour l'alimentation
  • G11C 13/00 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage non couverts par les groupes , ou

24.

STACKED CMOS IMAGE SENSOR COMPRISING A PIXEL SENSOR FOR HIGH CONVERSION GAIN AND METHOD FOR FORMING THE SAME

      
Numéro d'application 18406526
Statut En instance
Date de dépôt 2024-01-08
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Yu, Chih-Kuan
  • Hung, Feng-Chi
  • Hsu, Wen-I
  • You, Bing Cheng
  • Liu, Jen-Cheng
  • Yaung, Dun-Nian

Abrégé

Various embodiments of the present disclosure are directed to a stacked complementary metal-oxide semiconductor (CMOS) image sensor. A first integrated circuit (IC) chip and a second IC chip are vertically stacked. A pixel sensor spans the first and second IC chips. The pixel sensor comprises a first transfer transistor and a photodetector that are at the first IC chip, and further comprises a source-follower transistor, a transistor capacitor, and a second transfer transistor that are at the second IC chip. The transistor capacitor and the second transfer transistor are electrically coupled in series from a source/drain region of the first transfer transistor to a gate electrode of the source-follower transistor.

Classes IPC  ?

  • H04N 25/79 - Agencements de circuits répartis entre des substrats, des puces ou des cartes de circuits différents ou multiples, p. ex. des capteurs d'images empilés
  • H01L 27/146 - Structures de capteurs d'images

25.

SEMICONDUCTOR DEVICE INCLUDING GATE DIELECTRICS OF DIFFERENT THICKNESSES AND METHOD FOR MANUFACTURING THE SAME

      
Numéro d'application 18495189
Statut En instance
Date de dépôt 2023-10-26
Date de la première publication 2025-05-01
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Tsou, Chuan-Cheng
  • Su, Po-Yuan
  • Yang, Sung-Hsin
  • Jeng, Jung-Chi
  • Chiang, Chen-Chieh

Abrégé

A method for manufacturing a semiconductor device includes: forming a first fin portion and a second fin portion on a semiconductor substrate, the first fin portion and the second fin portion being spaced apart from each other; and forming a first gate dielectric layer and a second gate dielectric layer on the first fin portion and the second fin portion, respectively, the first gate dielectric layer having a first thickness, the second gate dielectric layer having a second thickness different from the first thickness.

Classes IPC  ?

  • H01L 21/8234 - Technologie MIS
  • H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée

26.

CHIP PACKAGE STRUCTURE WITH PHOTONIC INTEGRATED CIRCUIT CHIP AND METHOD FOR FORMING THE SAME

      
Numéro d'application 18499785
Statut En instance
Date de dépôt 2023-11-01
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Liang, Shih-Wei
  • Wu, Jiun-Yi

Abrégé

A chip package structure is provided. The chip package structure includes a photonic integrated circuit chip including a dielectric structure, a photodetector, an optical modulator, and a first waveguide structure in the dielectric structure. The photodetector and the optical modulator are connected to the first waveguide structure. The chip package structure includes an electronic integrated circuit chip over the photonic integrated circuit chip. The chip package structure includes an optical transmission chip over the photonic integrated circuit chip. The optical transmission chip includes a substrate, a second waveguide structure, and a first reflective structure.

Classes IPC  ?

  • G02B 6/42 - Couplage de guides de lumière avec des éléments opto-électroniques

27.

Contacts for Semiconductor Devices and Methods of Forming the Same

      
Numéro d'application 19009221
Statut En instance
Date de dépôt 2025-01-03
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Lin, Meng-Han
  • Yeong, Sai-Hooi
  • Chui, Chi On

Abrégé

Methods for forming contacts to source/drain regions and gate electrodes in low- and high-voltage devices and devices formed by the same are disclosed. In an embodiment a device includes a first channel region in a substrate adjacent a first source/drain region; a first gate over the first channel region; a second channel region in the substrate adjacent a second source/drain region, a top surface of the second channel region being below a top surface of the first channel region; a second gate over the second channel region; an ILD over the first gate and the second gate; a first contact extending through the ILD and coupled to the first source/drain region; and a second contact extending through the ILD, coupled to the second source/drain region, and having a width greater a width of the first contact and a height greater than a height of the first contact.

Classes IPC  ?

  • H10D 64/23 - Électrodes transportant le courant à redresser, à amplifier, à faire osciller ou à commuter, p. ex. sources, drains, anodes ou cathodes
  • H10D 30/01 - Fabrication ou traitement
  • H10D 62/17 - Régions semi-conductrices connectées à des électrodes ne transportant pas de courant à redresser, amplifier ou commuter, p. ex. régions de canal
  • H10D 64/01 - Fabrication ou traitement
  • H10D 64/27 - Électrodes ne transportant pas le courant à redresser, à amplifier, à faire osciller ou à commuter, p. ex. grilles
  • H10D 64/68 - Électrodes ayant un conducteur couplé capacitivement à un semi-conducteur par un isolant, p. ex. électrodes du type métal-isolant-semi-conducteur [MIS] caractérisées par l’isolant, p. ex. par l’isolant de grille
  • H10D 84/01 - Fabrication ou traitement
  • H10D 84/03 - Fabrication ou traitement caractérisés par l'utilisation de technologies basées sur les matériaux utilisant une technologie du groupe IV, p. ex. technologie au silicium ou au carbure de silicium [SiC]
  • H10D 84/83 - Dispositifs intégrés formés dans ou sur des substrats semi-conducteurs qui comprennent uniquement des couches semi-conductrices, p. ex. sur des plaquettes de Si ou sur des plaquettes de GaAs-sur-Si caractérisés par l'intégration d'au moins un composant couvert par les groupes ou , p. ex. l'intégration de transistors IGFET de composants à effet de champ uniquement de transistors FET à grille isolée [IGFET] uniquement
  • H10D 84/85 - Transistors IGFET complémentaires, p. ex. CMOS

28.

SEMICONDUCTOR PACKAGES INCLUDING PASSIVE DEVICES AND METHODS OF FORMING SAME

      
Numéro d'application 19007031
Statut En instance
Date de dépôt 2024-12-31
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Jeng, Shin-Puu
  • Chuang, Po-Yao
  • Chen, Shuo-Mao

Abrégé

An embodiment is a structure including a first semiconductor device and a second semiconductor device, a first set of conductive connectors mechanically and electrically bonding the first semiconductor device and the second semiconductor device, a first underfill between the first and second semiconductor devices and surrounding the first set of conductive connectors, a first encapsulant on at least sidewalls of the first and second semiconductor devices and the first underfill, and a second set of conductive connectors electrically coupled to the first semiconductor device, the second set of conductive connectors being on an opposite side of the first semiconductor device as the first set of conductive connectors.

Classes IPC  ?

  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
  • H01L 21/56 - Encapsulations, p. ex. couches d’encapsulation, revêtements
  • H01L 23/31 - Encapsulations, p. ex. couches d’encapsulation, revêtements caractérisées par leur disposition
  • H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants
  • H01L 25/00 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide

29.

MEMORY CIRCUIT AND METHOD OF OPERATING THE SAME

      
Numéro d'application 19012423
Statut En instance
Date de dépôt 2025-01-07
Date de la première publication 2025-05-01
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Yuh, Perng-Fei
  • Wu, Shao-Ting
  • Lin, Yu-Fan

Abrégé

A memory circuit includes an operational amplifier configured to generate a bias voltage at an output terminal responsive to reference and feedback voltages received at respective first and second input terminals, a first NMOS device including a gate coupled to the output terminal of the operational amplifier, a second NMOS device including a gate coupled to a source terminal of the first NMOS device and a source terminal coupled to the second input terminal of the operational amplifier, a resistive device coupled between the source terminal of the second NMOS device and a power reference node, a third NMOS device including a gate coupled to the output terminal of the operational amplifier, a fourth NMOS device including a gate coupled to a source terminal of the third NMOS device, and a resistance-based memory device coupled between a source terminal of the fourth NMOS device and the power reference node.

Classes IPC  ?

  • G11C 13/00 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage non couverts par les groupes , ou
  • G11C 7/14 - Gestion de cellules facticesGénérateurs de tension de référence de lecture
  • G11C 11/16 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliersÉléments d'emmagasinage correspondants utilisant des éléments magnétiques utilisant des éléments dans lesquels l'effet d'emmagasinage est basé sur l'effet de spin

30.

PELLICLE ASSEMBLY MOUNTING FOR LITHOGRAPHY MASK

      
Numéro d'application 18592405
Statut En instance
Date de dépôt 2024-02-29
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Hsieh, Kun-Lung
  • Luo, Hao-En
  • Tsai, Shang-Cheng
  • Wen, Chih-Wei

Abrégé

An extreme ultraviolet mask including a substrate, a reflective multilayer stack on the substrate and patterned absorber layer on the reflective multilayer stack is provided with a pellicle membrane frame attached to the substrate. In some embodiments, the pellicle membrane frame is attached to the substrate using an adhesive between the pellicle membrane frame and the substrate. In some embodiments, the pellicle membrane frame is located in a trench formed in the reflective multilayer stack and patterned absorber layer. In other embodiments, the pellicle membrane frame not located in a trench formed in the reflective multilayer stack and patterned absorber layer.

Classes IPC  ?

  • G03F 1/24 - Masques en réflexionLeur préparation

31.

SEMICONDUCTOR STRUCTURE AND MANUFACTURING METHOD THEREOF

      
Numéro d'application 18494060
Statut En instance
Date de dépôt 2023-10-25
Date de la première publication 2025-05-01
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Tsai, Kuo-Chiang
  • Yeh, Jeng-Ya
  • Chiang, Mu-Chi

Abrégé

A method includes forming a transistor over a substrate, the transistor comprising a channel region, a gate structure over the channel region, and a plurality of source/drain regions on opposite sides of the channel region; forming a source/drain contact over one of the source/drain regions; forming a source/drain via over the source/drain contact, wherein from a top view, the source/drain via has a T-shaped profile, the source/drain via has a first portion extending in a lengthwise direction of the channel region, and a second portion extending in a lengthwise direction of the gate structure.

Classes IPC  ?

  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
  • H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée

32.

SEMICONDUCTOR DEVICE HAVING A FIN AT A S/D REGION AND A SEMICONDUCTOR CONTACT OR SILICIDE INTERFACING THEREWITH

      
Numéro d'application 19007076
Statut En instance
Date de dépôt 2024-12-31
Date de la première publication 2025-05-01
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Ching, Kuo-Cheng
  • Tsai, Ching-Wei
  • Cheng, Kuan-Lun
  • Wang, Chih-Hao

Abrégé

A semiconductor device includes a semiconductor channel region, a source/drain region, and a contact structure. The semiconductor channel region is over a substrate. The source/drain region is adjacent the semiconductor channel region. The source/drain region has a notched corner. The contact structure has a portion inlaid in the notched corner in the source/drain region.

Classes IPC  ?

  • H10D 84/85 - Transistors IGFET complémentaires, p. ex. CMOS
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p. ex. condensation
  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H10D 30/01 - Fabrication ou traitement
  • H10D 30/62 - Transistors à effet de champ à ailettes [FinFET]
  • H10D 30/69 - Transistors IGFET ayant des isolateurs de grille à piégeage de charges, p. ex. transistors MNOS
  • H10D 62/10 - Formes, dimensions relatives ou dispositions des régions des corps semi-conducteursFormes des corps semi-conducteurs
  • H10D 62/13 - Régions semi-conductrices connectées à des électrodes transportant le courant à redresser, amplifier ou commuter, p. ex. régions de source ou de drain
  • H10D 62/822 - Hétérojonctions comprenant uniquement des hétérojonctions de matériaux du groupe IV, p. ex. des hétérojonctions Si/Ge
  • H10D 62/832 - Corps semi-conducteurs, ou régions de ceux-ci, de dispositifs ayant des barrières de potentiel caractérisés par les matériaux étant des matériaux du groupe IV, p. ex. Si dopé B ou Ge non dopé étant des matériaux du groupe IV comprenant deux éléments ou plus, p. ex. SiGe
  • H10D 62/834 - Corps semi-conducteurs, ou régions de ceux-ci, de dispositifs ayant des barrières de potentiel caractérisés par les matériaux étant des matériaux du groupe IV, p. ex. Si dopé B ou Ge non dopé caractérisés en outre par les dopants
  • H10D 64/01 - Fabrication ou traitement
  • H10D 84/01 - Fabrication ou traitement
  • H10D 84/03 - Fabrication ou traitement caractérisés par l'utilisation de technologies basées sur les matériaux utilisant une technologie du groupe IV, p. ex. technologie au silicium ou au carbure de silicium [SiC]

33.

METHOD FOR FORMING SEMICONDUCTOR DEVICE

      
Numéro d'application 19007072
Statut En instance
Date de dépôt 2024-12-31
Date de la première publication 2025-05-01
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Chuang, Harry-Hak-Lay
  • Huang, Sheng-Huang
  • Wang, Hung-Cho
  • Chen, Sheng-Chang

Abrégé

A method for fabricating a semiconductor device is provided. The method includes forming a first memory cell and a second memory cell over a substrate, wherein each of the first and second memory cells comprises a bottom electrode, a resistance switching element over the bottom electrode, and a top electrode over the resistance switching element; depositing a first dielectric layer over the first and second memory cells, such that the first dielectric layer has a void between the first and second memory cells; depositing a second dielectric layer over the first dielectric layer; and forming a first conductive feature and a second conductive feature in the first and second dielectric layers and respectively connected with the top electrode of the first memory cell and the top electrode of the second memory cell.

Classes IPC  ?

  • G11C 11/16 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliersÉléments d'emmagasinage correspondants utilisant des éléments magnétiques utilisant des éléments dans lesquels l'effet d'emmagasinage est basé sur l'effet de spin
  • G11C 5/02 - Disposition d'éléments d'emmagasinage, p. ex. sous la forme d'une matrice
  • G11C 5/06 - Dispositions pour interconnecter électriquement des éléments d'emmagasinage
  • H10B 61/00 - Dispositifs de mémoire magnétique, p. ex. dispositifs RAM magnéto-résistifs [MRAM]
  • H10N 50/01 - Fabrication ou traitement
  • H10N 50/80 - Détails de structure

34.

METHOD FOR FORMING SEMICONDUCTOR STRUCTURE

      
Numéro d'application 19001447
Statut En instance
Date de dépôt 2024-12-25
Date de la première publication 2025-05-01
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Chu, Jui-Lin
  • Wang, Szu-Yu
  • Li, Ching I

Abrégé

A semiconductor structure includes a capacitor structure and a contact structure. The capacitor structure includes an electrode layer, a protective dielectric layer, and a capacitor dielectric layer. The protective dielectric layer covers a top surface of the electrode layer. The capacitor dielectric layer is on the protective oxide layer. The contact structure penetrates the protective oxide layer and electrically connects to the electrode layer.

Classes IPC  ?

  • H10D 1/68 - Condensateurs n’ayant pas de barrières de potentiel
  • H01G 4/10 - Diélectriques à base d'oxydes métalliques
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées

35.

IMAGE SENSOR AND METHOD OF MANUFACTURING IMAGE SENSOR

      
Numéro d'application 18444896
Statut En instance
Date de dépôt 2024-02-19
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Chen, Chung-Lei
  • Lin, Yen-Liang
  • Hsiao, Ru-Shang

Abrégé

The present disclosure provides an image sensor and a method of manufacturing the same. The image sensor includes a substrate and a gate electrode. The gate electrode is disposed proximate to a first side of the substrate. The gate electrode includes a first gate portion, a second gate portion, and a third gate portion. The first gate portion is disposed over the first side of the substrate. The second gate portion is disposed within the substrate and connected to the first gate portion. The third gate portion is disposed below and connected to the second gate portion. A first width of the first gate portion is greater than a second width of the second gate portion, and a third width of the third gate portion is greater than the second width.

Classes IPC  ?

36.

SEMICONDUCTOR STRUCTURE AND METHOD FOR FORMING THE SAME

      
Numéro d'application 18498293
Statut En instance
Date de dépôt 2023-10-31
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Chiang, Hsin-Che
  • Kao, Wei-Chih
  • Huang, Ju-Li
  • Yeh, Jeng-Ya
  • Chiang, Mu-Chi
  • Liaw, Jhon-Jhy

Abrégé

Semiconductor structures and methods for manufacturing the same are provided. The semiconductor structure includes first nanostructures formed over a substrate along a first direction, and second nanostructures formed over the substrate along the first direction. The semiconductor structure includes a first gate structure formed over the first nanostructures along a second direction, and a second gate structure formed over the second nanostructures along the second direction. The semiconductor structure also includes a dielectric wall structure between the first gate structure and the second gate structure along the second direction. The semiconductor structure also includes a dielectric strip structure formed along the second direction. The dielectric strip structure includes a protruding portion which is lower than a bottom surface of a bottommost first nanostructure.

Classes IPC  ?

  • H01L 29/786 - Transistors à couche mince
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique

37.

MEMORY DEVICE WITH A BIAS CIRCUIT

      
Numéro d'application 19011055
Statut En instance
Date de dépôt 2025-01-06
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Chang, Meng-Sheng
  • Huang, Chia-En
  • Li, Gu-Huan

Abrégé

A method for operating a memory device is provided. A first address is decoded to select a bit line of a memory device. A second address is decoded to select a word line of the memory device. A word line voltage is applied to the selected word line. A bit line voltage is applied to the selected bit line. A first bias voltage is applied to each of a plurality of unselected word lines connected to a plurality of memory cells connected to the selected bit line san a memory cell connected to both the selected bit line and the selected word line.

Classes IPC  ?

  • G11C 11/16 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliersÉléments d'emmagasinage correspondants utilisant des éléments magnétiques utilisant des éléments dans lesquels l'effet d'emmagasinage est basé sur l'effet de spin

38.

SEMICONDUCTOR DEVICE STRUCTURE AND METHODS OF FORMING THE SAME

      
Numéro d'application 18591280
Statut En instance
Date de dépôt 2024-02-29
Date de la première publication 2025-05-01
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Yang, Chih Hsin
  • Zhuang, Hui-Zhong
  • Wang, Mao-Nan

Abrégé

A semiconductor device structure and methods of forming the same are described. The structure includes a through silicon via (TSV) disposed in an interconnect structure and a substrate, a guard structure located in the interconnect structure surrounding the TSV, and an active region surrounding the guard structure. A space between the guard structure and the active region is free of dummy devices.

Classes IPC  ?

  • H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 23/48 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p. ex. fils de connexion ou bornes
  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe

39.

INTEGRATED CIRCUIT DEVICE INCLUDING A CROSSTALK REDUCTION STRUCTURE FOR HALF-SHIELD PHASE DETECTION

      
Numéro d'application 18586940
Statut En instance
Date de dépôt 2024-02-26
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Yi-Hsuan
  • Huang, Cheng-Yu
  • Chou, Keng-Yu
  • Chiang, Wei-Chieh

Abrégé

Some embodiments relate to an integrated circuit (IC) device including a substrate having first photodetector groups respectively associated with a plurality of color pixels and second photodetector groups respectively associated with a plurality of phase detection pixels. Each of the first and second photodetector groups includes one or more photodetectors. The device further includes a grid structure over the substrate, color filters over the substrate, and a crosstalk reduction structure. The grid structure includes light shields, each configured to redirect light away from a corresponding one of the second photodetector groups. Each color filter vertically spans the grid structure at a corresponding one of the first photodetector groups. The crosstalk reduction structure is level with the color filters and limits an amount of the light redirected by the light shield of each of the phase detection pixels to the first photodetector group of a neighboring one of the color pixels.

Classes IPC  ?

40.

SHARED DECODER CIRCUIT AND METHOD

      
Numéro d'application 19006992
Statut En instance
Date de dépôt 2024-12-31
Date de la première publication 2025-05-01
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • TSMC CHINA COMPANY, LIMITED (Chine)
  • TSMC NANJING COMPANY, LIMITED (Chine)
Inventeur(s)
  • Yang, Xiuli
  • Wu, Ching-Wei
  • Wan, He-Zhou
  • Cheng, Kuan
  • Kong, Luping

Abrégé

A memory circuit includes a control circuit configured to receive a clock signal including a clock cycle and output control signals based on the clock signal, an input circuit arrangement configured to, responsive to the control signals, pass a latched address to an output of the input circuit arrangement, the latched address including, during a first half of the clock cycle, a read address received at a first input port, and, during a second half of the clock cycle, a write address received at a second input port, an array of single-port memory cells, the memory circuit being configured to perform read and write operations during the respective first and second halves of the clock cycle, and a decoding circuit arrangement configured to, based on the latched address at the output, activate a row of memory cells of the array during each of the first and second clock cycle halves.

Classes IPC  ?

  • G11C 8/18 - Circuits de synchronisation ou d'horlogeGénération ou gestion de signaux de commande d'adresse, p. ex. pour des signaux d'échantillonnage d'adresse de ligne [RAS] ou d'échantillonnage d'adresse de colonne [CAS]
  • G11C 7/10 - Dispositions d'interface d'entrée/sortie [E/S, I/O] de données, p. ex. circuits de commande E/S de données, mémoires tampon de données E/S
  • G11C 7/22 - Circuits de synchronisation ou d'horloge pour la lecture-écriture [R-W]Générateurs ou gestion de signaux de commande pour la lecture-écriture [R-W]
  • G11C 8/08 - Circuits de commande de lignes de mots, p. ex. circuits d'attaque, de puissance, de tirage vers le haut, d'abaissement, circuits de précharge, pour lignes de mots
  • G11C 8/10 - Décodeurs
  • G11C 11/418 - Circuits d'adressage
  • G11C 11/419 - Circuits de lecture-écriture [R-W]

41.

PASSIVATION STRUCTURE WITH PLANAR TOP SURFACES

      
Numéro d'application 19006753
Statut En instance
Date de dépôt 2024-12-31
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Co. Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Chen, Yi-Hsiu
  • Chiou, Wen-Chih
  • Yu, Chen-Hua

Abrégé

A method includes forming a first passivation layer, forming a metal pad over the first passivation layer, forming a planarization layer having a planar top surface over the metal pad, and patterning the planarization layer to form a first opening. A top surface of the metal pad is revealed through the first opening. The method further includes forming a polymer layer extending into the first opening, and patterning the polymer layer to form a second opening. The top surface of the metal pad is revealed through the second opening.

Classes IPC  ?

  • H01L 23/31 - Encapsulations, p. ex. couches d’encapsulation, revêtements caractérisées par leur disposition
  • H01L 21/56 - Encapsulations, p. ex. couches d’encapsulation, revêtements
  • H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/498 - Connexions électriques sur des substrats isolants
  • H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants
  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe

42.

Semiconductor Device Having Dielectric Material Treated with Microwave Plasma and Method of Fabricating Thereof

      
Numéro d'application 18498900
Statut En instance
Date de dépôt 2023-10-31
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Chen, Szu-Hua
  • Chang, Lilin
  • Cheng, Yahru
  • Woon, Wei-Yen
  • Liao, Szuya

Abrégé

A low thermal budget dielectric material deposition process is provided. The dielectric material may be deposited using spin-on coating, and treated with a microwave plasma treatment. In some implementations, the dielectric material is used adjacent a contact feature of a CFET device, such as a contact feature providing connection to a source/drain region of a bottom transistor of a CFET device.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 21/822 - Fabrication ou traitement de dispositifs consistant en une pluralité de composants à l'état solide ou de circuits intégrés formés dans ou sur un substrat commun avec une division ultérieure du substrat en plusieurs dispositifs individuels pour produire des dispositifs, p.ex. des circuits intégrés, consistant chacun en une pluralité de composants le substrat étant un semi-conducteur, en utilisant une technologie au silicium
  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux

43.

ELECTRO-OPTICAL DEVICE

      
Numéro d'application 18494750
Statut En instance
Date de dépôt 2023-10-25
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Liang, Shuen-Shin
  • Tsai, Tsung-Fu
  • Lu, Szu-Wei
  • Liu, Chung-Shi
  • Yu, Chen-Hua

Abrégé

An electro-optical device includes a waveguide and a first electrode and a second electrode. The first electrode and the second electrode at first and second sides of the waveguide, wherein the first electrode and the second electrode directly contact and extend beyond the first and second sides of the waveguide respectively.

Classes IPC  ?

  • G02F 1/01 - Dispositifs ou dispositions pour la commande de l'intensité, de la couleur, de la phase, de la polarisation ou de la direction de la lumière arrivant d'une source lumineuse indépendante, p. ex. commutation, ouverture de porte ou modulationOptique non linéaire pour la commande de l'intensité, de la phase, de la polarisation ou de la couleur

44.

MEMORY CELL WITH LOW RESISTANCE TOP ELECTRODE CONTACT AND METHODS FOR FORMING THE SAME

      
Numéro d'application 19008992
Statut En instance
Date de dépôt 2025-01-03
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company Limited (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Hsing-Hsiang
  • Lin, Jiann-Horng
  • Yin, Yu-Feng
  • Lin, Huan-Just

Abrégé

A magnetic tunnel junction (MTJ) memory cell comprising a connection via structure, a bottom electrode disposed on the connection via structure, a memory material stack disposed on the bottom electrode, and a conductive contact structure disposed on the memory material stack, in which a bottom surface of the conductive contact structure is in direct contact with a memory material layer of the memory material stack.

Classes IPC  ?

  • H10N 50/80 - Détails de structure
  • H10B 61/00 - Dispositifs de mémoire magnétique, p. ex. dispositifs RAM magnéto-résistifs [MRAM]
  • H10N 50/01 - Fabrication ou traitement

45.

SEMICONDUCTOR STRUCTURE AND MANUFACTURING METHOD THEREOF

      
Numéro d'application 18385134
Statut En instance
Date de dépôt 2023-10-30
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Chou, Chuan-Pu
  • Wu, Chia-Tien
  • Chen, Hsin-Ping
  • Chu, Wei-Chen

Abrégé

A semiconductor structure is provided. The semiconductor structure includes a first dielectric layer, a first metal layer, a via, an air gap, an etching stop layer, a second dielectric layer, and a second metal layer. The first metal layer is embedded in the first dielectric layer. The first metal layer includes a first conductive line and a second conductive line. The via is disposed on the first conductive line. The air gap is located on the second conductive line. The sustaining layer covers the air gap. The etching stop layer is disposed on the sustaining layer. The second dielectric layer is disposed on the etching stop layer. The second metal layer is disposed on the second dielectric layer and connected to the via.

Classes IPC  ?

  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux

46.

Barrier Layer for Metal Insulator Metal Capacitors

      
Numéro d'application 19005449
Statut En instance
Date de dépôt 2024-12-30
Date de la première publication 2025-05-01
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Cheng, Anhao
  • Kuo, Fang-Ting
  • Chen, Yen-Yu

Abrégé

The present disclosure is directed to a method for the fabrication of MiM capacitor structures with metallic electrodes having nitrogen-rich metal nitride layers. The method includes depositing a first electrode bilayer on a first interconnect disposed on a substrate, where the first electrode includes a first layer and a second layer with a different nitrogen concentration. The method also includes depositing a dielectric layer on the first electrode bilayer and depositing a second electrode bilayer on the first interconnect where the second electrode includes a third layer and a fourth layer with a different nitrogen concentration. The method further includes patterning the first electrode bilayer, the dielectric layer, and the second electrode bilayer to form a capacitor structure on the first interconnect layer.

Classes IPC  ?

  • H10D 1/68 - Condensateurs n’ayant pas de barrières de potentiel
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 23/528 - Configuration de la structure d'interconnexion

47.

SEMICONDUCTOR PACKAGE AND FORMATION METHOD THEREOF

      
Numéro d'application 18494748
Statut En instance
Date de dépôt 2023-10-25
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Jhih-Yu
  • Wang, Po-Han
  • Hu, Yu-Hsiang
  • Kuo, Hung-Jui

Abrégé

A semiconductor package and a formation method thereof are provided. The method includes: providing a device wafer, with a barrier layer covering a back surface of a semiconductor substrate, and having a through substrate via (TSV) penetrating through the barrier layer and extending into the semiconductor substrate; defining an alignment mark over the back surface of the semiconductor substrate; forming a seed layer over the back surface of the semiconductor substrate, wherein the seed layer has a recess portion corresponding to the alignment mark; forming a mask layer on the seed layer; performing a lithography process by using a redefined alignment mark formed by the recess portion of the seed layer, to form an opening through the mask layer and overlapping the TSV; filling a conductive structure in the opening; removing the mask layer and portions of the seed layer around the conductive structure; and singulating the processed device wafer.

Classes IPC  ?

  • H01L 23/48 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p. ex. fils de connexion ou bornes
  • H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants
  • H01L 23/544 - Marques appliquées sur le dispositif semi-conducteur, p. ex. marques de repérage, schémas de test
  • H01L 25/10 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs ayant des conteneurs séparés

48.

MULTI-GATE DEVICE AND RELATED METHODS

      
Numéro d'application 19010734
Statut En instance
Date de dépôt 2025-01-06
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Ching, Kuo-Cheng
  • Tsai, Ching-Wei
  • Cheng, Kuan-Lun
  • Wang, Chih-Hao

Abrégé

A method for fabricating a semiconductor device includes providing a fin in a first region of a substrate. The fin includes a plurality of a first type of epitaxial layers and a plurality of a second type of epitaxial layers. A portion of a layer of the second type of epitaxial layers in a channel region of the first fin is removed to form a first gap between a first layer of the first type of epitaxial layers and a second layer of the first type of epitaxial layers. A first portion of a first gate structure is formed within the first gap and extending from a first surface of the first layer of the first type of epitaxial layers to a second surface of the second layer of the first type of epitaxial layers. A first source/drain feature is formed abutting the first portion of the first gate structure.

Classes IPC  ?

  • H10D 84/85 - Transistors IGFET complémentaires, p. ex. CMOS
  • H10D 30/01 - Fabrication ou traitement
  • H10D 30/62 - Transistors à effet de champ à ailettes [FinFET]

49.

SEMICONDUCTOR DEVICES WITH EMBEDDED BACKSIDE CAPACITORS

      
Numéro d'application 18403326
Statut En instance
Date de dépôt 2024-01-03
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Chou, Chih-Chao
  • Chuang, Cheng-Chi
  • Wang, Chih-Hao
  • Tsai, Ching-Wei

Abrégé

A method of forming a semiconductor device includes: forming a device layer that includes nanostructures and a gate structure around the nanostructures; forming a first interconnect structure on a front-side of the device layer; and forming a second interconnect structure on a backside of the device layer, which includes: forming a dielectric layer along the backside of the device layer using a first dielectric material; forming a first conductive feature and a second conductive feature in the dielectric layer; form an opening in the dielectric layer between the first and the second conductive features; forming a first barrier layer and a second barrier layer along a first sidewall of the first conductive feature and along a second sidewall of the second conductive feature, respectively; and forming a second dielectric material different from the first dielectric material in the opening between the first barrier layer and the second barrier layer.

Classes IPC  ?

  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 21/8234 - Technologie MIS
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 23/528 - Configuration de la structure d'interconnexion
  • H01L 27/06 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant une pluralité de composants individuels dans une configuration non répétitive
  • H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
  • H01L 29/786 - Transistors à couche mince

50.

INTEGRATED CIRCUIT DEVICE AND METHOD FOR FABRICATING THE SAME

      
Numéro d'application 18497643
Statut En instance
Date de dépôt 2023-10-30
Date de la première publication 2025-05-01
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Lin, Chrong Jung
  • King, Ya-Chin
  • Chao, Gui-Sheng

Abrégé

An integrated circuit device includes a first metallization layer, a second metallization layer, and a first metal via. The first metallization layer comprises two adjacent first metal lines. The second metallization layer is over the first metallization layer, wherein the second metallization layer comprises a second metal line. The first metal via is connected with a bottom of the second metal line. The first metal via is between the first metal lines and misaligned with the first metal lines in a top view.

Classes IPC  ?

  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 23/528 - Configuration de la structure d'interconnexion
  • H01L 27/07 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant une pluralité de composants individuels dans une configuration non répétitive les composants ayant une région active en commun

51.

SEMICONDUCTOR DEVICES HAVING GATE-ALL-AROUND STRUCTURE AND METHODS OF FABRICATING THE SAME

      
Numéro d'application 19004052
Statut En instance
Date de dépôt 2024-12-27
Date de la première publication 2025-05-01
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Lin, Chun-Hsiung
  • Wang, Pei-Hsun
  • Wang, Chih-Hao
  • Ching, Kuo-Cheng
  • Huang, Jui-Chien

Abrégé

A semiconductor device is provided. The semiconductor device includes a silicon layer over a fin, a doped semiconductor layer over the fin and adjoining the silicon layer, a plurality of channel layers over the silicon layer, a source/drain structure on the doped semiconductor layer and adjoining plurality of channel layers, and a plurality of inner spacers between the plurality of channel layers.

Classes IPC  ?

  • H10D 30/01 - Fabrication ou traitement
  • H10D 30/62 - Transistors à effet de champ à ailettes [FinFET]
  • H10D 62/10 - Formes, dimensions relatives ou dispositions des régions des corps semi-conducteursFormes des corps semi-conducteurs
  • H10D 84/01 - Fabrication ou traitement
  • H10D 84/03 - Fabrication ou traitement caractérisés par l'utilisation de technologies basées sur les matériaux utilisant une technologie du groupe IV, p. ex. technologie au silicium ou au carbure de silicium [SiC]

52.

SEMICONDUCTOR STRUCTURE WITH DEVICES HAVING DIFFERENT EFFECTIVE CHANNELS AND REDUCED EFFECTIVE CAPACITANCES, AND METHOD FOR MANUFACTURING THE SAME

      
Numéro d'application 18499816
Statut En instance
Date de dépôt 2023-11-01
Date de la première publication 2025-05-01
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Chang, Jung-Hung
  • Chuang, Tsung-Han
  • Chang, Fu-Cheng
  • Chen, Shih-Cheng
  • Tsai, Chia-Cheng
  • Chiang, Kuo-Cheng
  • Wang, Chih-Hao

Abrégé

A semiconductor device includes two source/drain regions, two isolation elements, a channel feature, at least one semiconductor layer and a gate feature. The source/drain regions are spaced apart from each other, and are respectively disposed above the isolation elements. The channel feature includes at least one effective channel layer and at least one dummy channel layer that are spaced apart from each other. Each of the at least one effective channel layer extends between the source/drain regions. Each of the at least one dummy channel layer extends between the isolation elements. The at least one semiconductor layer at least covers a lower surface of a bottommost one of the at least one dummy channel layer. The gate feature is disposed around the at least one effective channel layer, such that two opposite surfaces of each of the at least one effective channel layer are adjacent to the gate feature.

Classes IPC  ?

  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 21/8234 - Technologie MIS
  • H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
  • H01L 29/786 - Transistors à couche mince

53.

SEMICONDUCTOR STRUCTURE, ELECTRODE STRUCTURE AND METHOD OF FORMING THE SAME

      
Numéro d'application 19008688
Statut En instance
Date de dépôt 2025-01-03
Date de la première publication 2025-05-01
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Chou, Chung-Yen
  • Sung, Fu-Ting
  • Chang, Yao-Wen
  • Liu, Shih-Chang

Abrégé

A semiconductor structure includes an Nth metal layer, a diffusion barrier layer over the Nth metal layer, a first deposition of bottom electrode material over the diffusion barrier layer, a second deposition of bottom electrode material over the first deposition of bottom electrode material, a magnetic tunneling junction (MTJ) layer over the second deposition of bottom electrode material, a top electrode over the MTJ layer; and an (N+1)th metal layer over the top electrode; wherein the diffusion barrier layer and the first deposition of bottom electrode material are laterally in contact with a dielectric layer, the first deposition of bottom electrode material spacing the diffusion barrier layer and the second deposition of bottom electrode material apart, and N is an integer greater than or equal to 1. An associated electrode structure and method are also disclosed.

Classes IPC  ?

54.

System, Device and Methods of Manufacture

      
Numéro d'application 19000789
Statut En instance
Date de dépôt 2024-12-24
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Yu, Chen-Hua
  • Chang, Wei Ling
  • Wang, Chuei-Tang
  • Kuo, Tin-Hao
  • Hsu, Che-Wei

Abrégé

Systems, devices and methods of manufacturing a system on silicon wafer (SoSW) device and package are described herein. A plurality of functional dies is formed in a silicon wafer. Different sets of masks are used to form different types of the functional dies in the silicon wafer. A first redistribution structure is formed over the silicon wafer and provides local interconnects between adjacent dies of the same type and/or of different types. A second redistribution structure may be formed over the first redistribution layer and provides semi-global and/or global interconnects between non-adjacent dies of the same type and/or of different types. An optional backside redistribution structure may be formed over a second side of the silicon wafer opposite the first redistribution layer. The optional backside redistribution structure may provide backside interconnects between functional dies of different types.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 21/77 - Fabrication ou traitement de dispositifs consistant en une pluralité de composants à l'état solide ou de circuits intégrés formés dans ou sur un substrat commun
  • H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/40 - Supports ou moyens de fixation pour les dispositifs de refroidissement ou de chauffage amovibles
  • H01L 23/528 - Configuration de la structure d'interconnexion
  • H01L 25/18 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant de types prévus dans plusieurs différents groupes principaux de la même sous-classe , , , , ou

55.

NEURAL NETWORK CIRCUIT AND METHOD FOR FORMING THE SAME

      
Numéro d'application 18498596
Statut En instance
Date de dépôt 2023-10-31
Date de la première publication 2025-05-01
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Chen, Yu-Sheng
  • Chen, Kuen-Yi
  • Chen, Yi-Hsuan
  • Wang, Hsin Heng
  • Ong, Yi Ching
  • Huang, Kuo-Ching

Abrégé

A neural network circuit includes an input neuron layer comprises a plurality of first neurons. A hidden neuron layer includes a plurality of second neurons, wherein each of the second neurons comprises a probabilistic bit having a time-varying resistance. The probabilistic bit is a magnetic tunnel junction structure comprises a pinned layer, a free layer, and a tunneling barrier layer between the pinned layer and the free layer. A weight matrix comprising a plurality of synapse units, each of the synapse units connecting one of the plurality of first neurons to a corresponding one of the plurality of first neurons.

Classes IPC  ?

  • H10B 61/00 - Dispositifs de mémoire magnétique, p. ex. dispositifs RAM magnéto-résistifs [MRAM]
  • G06N 3/047 - Réseaux probabilistes ou stochastiques
  • H10B 63/00 - Dispositifs de mémoire par changement de résistance, p. ex. dispositifs RAM résistifs [ReRAM]

56.

INTEGRATED CIRCUIT (IC) STRUCTURES WITH THERMAL COMPONENTS

      
Numéro d'application 18599383
Statut En instance
Date de dépôt 2024-03-08
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Datye, Isha
  • Vaziri, Sam
  • Bao, Xinyu

Abrégé

One aspect of the present disclosure pertains to an integrated circuit (IC) structure and method of fabricating thereof. The IC structure may include the first plurality of thermal vias disposed at a first pitch and the third plurality of thermal vias disposed at a second pitch, the second pitch greater than the first pitch.

Classes IPC  ?

  • H01L 23/373 - Refroidissement facilité par l'emploi de matériaux particuliers pour le dispositif
  • H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe

57.

TRANSISTOR INCLUDING AN ACTIVE REGION AND METHODS FOR FORMING THE SAME

      
Numéro d'application 19010136
Statut En instance
Date de dépôt 2025-01-05
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company Limited (Taïwan, Province de Chine)
Inventeur(s)
  • Tsai, Wu-Wei
  • Chen, Hai-Ching
  • Lin, Po-Ting

Abrégé

A thin film transistor includes a stack of an active layer, a gate dielectric, and a gate electrode in a forward order or in a reverse order. The active layer includes a compound semiconductor material containing oxygen, at least one acceptor-type element selected from Ga and W, and at least one heavy post-transition metal element selected from In and Sn. An atomic percentage of the at least one heavy post-transition metal element at a first surface portion of the active layer that contacts the gate dielectric is higher than an atomic percentage of the at least one heavy post-transition metal element at a second surface portion of the active layer located on an opposite side of the gate dielectric. The front channel current may be increased, and the back channel leakage current may be decreased.

Classes IPC  ?

  • H10D 30/67 - Transistors à couche mince [TFT]
  • H10D 64/27 - Électrodes ne transportant pas le courant à redresser, à amplifier, à faire osciller ou à commuter, p. ex. grilles
  • H10D 99/00 - Matière non prévue dans les autres groupes de la présente sous-classe

58.

INTEGRATED CIRCUIT (IC) STRUCTURES WITH THERMAL VIAS AND HEAT SPREADER LAYERS

      
Numéro d'application 18588069
Statut En instance
Date de dépôt 2024-02-27
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Datye, Isha
  • Vaziri, Sam
  • Bao, Xinyu

Abrégé

An IC structure includes a frontside interconnect structure on a front side of a device layer, the frontside interconnect structure includes first metal features and second metal features isolated from each other by and embedded in an IMD layer, the first metal features are electrically connected to the transistor devices, and the second metal features are electrically isolated from the transistor devices; a backside interconnect structure on a back side of the device layer, the backside interconnect structure includes third metal features and fourth metal features isolated from each other by and embedded in a backside IMD layer, the third metal features are electrically connected to the transistor devices, and the fourth metal features are electrically isolated from the transistor devices. The IC structure further includes a heat spreader layer having a material that is thermally conductive and electrically insulating on a back side of the backside interconnect structure.

Classes IPC  ?

  • H01L 23/367 - Refroidissement facilité par la forme du dispositif
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 21/8234 - Technologie MIS
  • H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/373 - Refroidissement facilité par l'emploi de matériaux particuliers pour le dispositif
  • H01L 23/48 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p. ex. fils de connexion ou bornes
  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
  • H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée

59.

Integrated Circuit with Enhanced Thermal Dissipation Structure

      
Numéro d'application 18499870
Statut En instance
Date de dépôt 2023-11-01
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Shih, Che Chi
  • Yang, Ku-Feng
  • Liao, Szuya

Abrégé

The present disclosure provides an integrated circuit (IC) structure in accordance with some embodiments. The IC structure includes a circuit structure having semiconductor devices formed on a first substrate, an interconnect structure over the semiconductor devices; and a thermal dissipation structure formed on a second substrate. The second substrate is boned to the circuit structure such that the thermal dissipation structure is interposed between the first and second substrates. The thermal dissipation structure includes a diamond-like carbon (DLC) layer. The DLC layer includes a bottom portion having large grain sizes and a top portion having fine DLC grain sizes.

Classes IPC  ?

  • H01L 23/373 - Refroidissement facilité par l'emploi de matériaux particuliers pour le dispositif
  • H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
  • H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée

60.

STRUCTURE AND FORMATION METHOD OF SEMICONDUCTOR DEVICE WITH CONDUCTIVE CONTACT

      
Numéro d'application 18493949
Statut En instance
Date de dépôt 2023-10-25
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Chen, Yi-Ren
  • Chang, Che-Chia
  • Chi, Po-Cheng
  • Ting, Yi-Hsin

Abrégé

A semiconductor device structure and a method for forming a semiconductor device structure are provided. The method includes forming a metal gate stack wrapped around multiple semiconductor nanostructures, and the semiconductor nanostructures are adjacent to an epitaxial structure. The method also includes forming a dielectric layer over the metal gate stack and the epitaxial structure and partially removing the dielectric layer to form a contact opening exposing the epitaxial structure. The method further includes forming a first protective layer over sidewalls of the contact opening and forming a second protective layer over the first protective layer. The first protective layer has a lower dielectric constant than that of the second protective layer. In addition, the method includes forming a conductive contact over the second protective layer and the epitaxial structure to fill the contact opening.

Classes IPC  ?

  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 21/8234 - Technologie MIS
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
  • H01L 29/786 - Transistors à couche mince

61.

SEMICONDUCTOR DEVICE STRUCTURE AND METHOD FOR FORMING THE SAME

      
Numéro d'application 18493948
Statut En instance
Date de dépôt 2023-10-25
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Chang, Wen-Ling
  • Chang, Chi-Hao
  • Shen, Hsiang-Ku
  • Chen, Dian-Hau

Abrégé

A method for forming a semiconductor device structure is provided. The method includes forming an interconnect structure over a substrate. The method further includes forming a passivation layer over the interconnect structure. The method further includes forming a conductive structure over the passivation layer, wherein the conductive structure includes a surrounding portion over the passivation layer, and a concave portion surrounded by the surrounding portion. A height of the surrounding portion is greater than a height of the concave portion calculated from a top surface of the passivation layer. The method further includes forming a liner over the conductive structure, wherein an oxygen-to-silicon ratio of the liner is lower than about 1.8.

Classes IPC  ?

  • H01L 23/528 - Configuration de la structure d'interconnexion
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées

62.

INTERCONNECT STRUCTURE WITH HIGH THERMAL CONDUCTIVITY AND LOW PARASITIC CAPACITANCE

      
Numéro d'application 18612386
Statut En instance
Date de dépôt 2024-03-21
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Lo, Ting-Ya
  • Lee, Cheng-Chin
  • Lee, Shao-Kuan
  • Huang, Hsin-Yen
  • Chang, Hsiao-Kang

Abrégé

Semiconductor structures and methods of forming the same are provided. An exemplary method incudes forming a metal layer over a substrate, patterning the metal layer to from first and second metal lines with a trench therebetween, depositing a sacrificial layer in a lower portion of the trench, forming a first dielectric layer on the sacrificial layer, selectively removing the sacrificial layer to form an air gap between the first and second metal lines after the forming of the first dielectric layer, and depositing a second dielectric layer over the first dielectric layer and in an upper portion of the trench.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 23/528 - Configuration de la structure d'interconnexion
  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux

63.

DELAMINATION DETECTION STRUCTURE

      
Numéro d'application 18440671
Statut En instance
Date de dépôt 2024-02-13
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Lai, Chi-Hui
  • Chen, Yang-Che
  • Lu, Hsiang-Tai
  • Lin, Wei-Ray
  • Liao, Tse-Wei
  • Li, Ming Jun

Abrégé

A semiconductor includes a first substrate having a device region and a ring region surrounding the device region, a first interconnect structure over the first substrate, the first interconnect structure including a first via tower and a second via tower, a first bonding layer over the first interconnect structure and including a first metal bonding feature, a second bonding layer over the first bonding layer and including a second metal bonding feature in contact with the first metal bonding feature, and a second interconnect structure over the second bonding layer and including a third via tower extending through the second interconnect structure and disposed directly over the ring region. The first via tower is electrically coupled to the second via tower by a first metal line. The first via tower is electrically coupled to the third via tower by the first metal bonding feature and the second metal bonding feature.

Classes IPC  ?

  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/48 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p. ex. fils de connexion ou bornes
  • H01L 23/58 - Dispositions électriques structurelles non prévues ailleurs pour dispositifs semi-conducteurs

64.

BACKSIDE ISOLATION OF SEMICONDUCTOR STRUCTURES

      
Numéro d'application 18494253
Statut En instance
Date de dépôt 2023-10-25
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Chen, Jui-Lin
  • Li, Gu-Huan
  • Wang, Ping-Wei
  • Hung, Lien-Jung
  • Lee, Chen-Ming

Abrégé

Semiconductor structures and methods for fabricating semiconductor structures are provided. A semiconductor structure includes a first fin extending in an X-direction and a second fin parallel to the first fin and distanced from the first fin in a Y-direction perpendicular to the X-direction. Each fin is formed with a first device area and a second device area aligned in the X-direction; an isolation region disposed between the fins; an isolation structure disposed between the device areas in each fin; and an isolation layer disposed under the fins. The isolation region contacts the isolation layer, the isolation structure contacts the isolation layer, and the isolation region contacts the isolation structure to isolate the first fin from the second fin and to isolate the first device area from the second device area in each fin.

Classes IPC  ?

  • H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
  • H01L 21/8234 - Technologie MIS
  • H01L 23/528 - Configuration de la structure d'interconnexion
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
  • H01L 29/786 - Transistors à couche mince

65.

SEMICONDUCTOR DEVICE WITH HEAT DISSIPATION LAYER AND METHOD OF FABRICATING THEREOF

      
Numéro d'application 18641017
Statut En instance
Date de dépôt 2024-04-19
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Vaziri, Sam
  • Bao, Xinyu
  • Datye, Isha

Abrégé

One aspect of the present disclosure pertains to an integrated circuit (IC) structure and method of fabricating thereof. The IC structure includes a transistor device formed on a substrate where the transistor device having source/drain (S/D) regions and a gate structure. A multi-layer interconnect (MLI) structure including metal lines and metal vias embedded in an intermetal dielectric (IMD) layer is formed over the substrate. And a thermal dissipation layer is formed having a surface with a plurality of peaks and valleys disposed over at least a portion of the MLI structure. A bonding layer is disposed over the thermal dissipation layer and covering the plurality of peaks and valleys.

Classes IPC  ?

  • H01L 23/373 - Refroidissement facilité par l'emploi de matériaux particuliers pour le dispositif
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 21/8234 - Technologie MIS
  • H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
  • H01L 29/66 - Types de dispositifs semi-conducteurs

66.

SEMICONDUCTOR PACKAGE AND METHOD

      
Numéro d'application 18590271
Statut En instance
Date de dépôt 2024-02-28
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Chang, Chih-Chiang
  • Tseng, Hua-Wei
  • Lin, Ta-Hsuan
  • Wu, Wei-Cheng
  • Yeh, Der-Chyang

Abrégé

In a semiconductor package having a redistribution structure, two or more semiconductor dies are connected to a first side of the redistribution structure and an encapsulant surrounds the two or more semiconductor dies. An integrated passive device (IPD) is connected on a second side of the redistribution structure. The second side is opposite to the first side and the IPD is electrically coupled to the redistribution structure. An interconnect device is connected on the second side of the redistribution structure and is electrically coupled to the redistribution structure. Two or more external connections are on the second side of the redistribution structure and are electrically coupled to the redistribution structure.

Classes IPC  ?

  • H01L 23/498 - Connexions électriques sur des substrats isolants
  • H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/31 - Encapsulations, p. ex. couches d’encapsulation, revêtements caractérisées par leur disposition
  • H01L 23/367 - Refroidissement facilité par la forme du dispositif
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 25/00 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
  • H01L 25/18 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant de types prévus dans plusieurs différents groupes principaux de la même sous-classe , , , , ou

67.

CATALYTIC METAL PLATE IN A METAL-INSULATOR-METAL CAPACITOR AND METHODS OF FORMING THE SAME

      
Numéro d'application 18641416
Statut En instance
Date de dépôt 2024-04-21
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company Limited (Taïwan, Province de Chine)
Inventeur(s)
  • Chen, Kuen-Yi
  • Ong, Yi Ching
  • Hsieh, Wei Ting
  • Ting, Yu-Wei
  • Huang, Kuo-Ching

Abrégé

A device structure includes a first electrode overlying a substrate; a node dielectric contacting the first electrode and including a dielectric material having a dielectric constant greater than 30; and a second electrode contacting the node dielectric. A first one of the first electrode and the second electrode includes a first catalytic metal plate in direct contact with the node dielectric and having a first electronegativity that is not greater than an electronegativity of molybdenum.

Classes IPC  ?

  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées

68.

HIGH PERFORMANCE STACKING PIXEL

      
Numéro d'application 18401771
Statut En instance
Date de dépôt 2024-01-02
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Chen, Hsin-Hung
  • Hsu, Wen-I
  • Hung, Feng-Chi
  • Liu, Jen-Cheng
  • Yaung, Dun-Nian

Abrégé

The present disclosure relates to a multi-dimensional image sensor integrated chip (IC) structure. The multi-dimensional image sensor IC structure includes a plurality of image sensing elements disposed within a plurality of pixel regions arranged in a pixel array of a first integrated chip (IC) tier. The plurality of pixel regions include a plurality of active pixel regions and one or more dummy pixel regions. A plurality of pixel support devices are disposed on a second substrate within a second IC tier that is bonded to the first IC tier. A plurality of logic devices are disposed within a third IC tier that is bonded to the second IC tier. A through substrate via (TSV) extends vertically through the second substrate laterally outside of the plurality of pixel support devices and directly below the pixel array.

Classes IPC  ?

69.

ADDITIVES FOR METALLIC PHOTORESIST

      
Numéro d'application 18385563
Statut En instance
Date de dépôt 2023-10-31
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company Ltd (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Shi-Cheng
  • Zi, An-Ren
  • Chang, Ching-Yu

Abrégé

Methods and materials for improving the hardness of a metallic photoresist used in EUV photolithography are disclosed. Multiple different additive types are described for use with the metallic photoresist. The additives can be applied to the photoresist as part of existing solutions, or applied as an ingredient in a treatment solution during various steps for applying, patterning, and developing the metallic photoresist. The resulting photoresist layer has increased hardness and higher EUV light sensitivity, which permits a reduced radiation dosage.

Classes IPC  ?

70.

INTEGRATED CIRCUIT LAYOUT SHAPES

      
Numéro d'application 18496509
Statut En instance
Date de dépôt 2023-10-27
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Chang, Chih-Wei
  • Chang, Chun-Hua
  • Wen, Chun-Hsien
  • Li, Johnny Chiahao
  • Kao, Jerry Chang Jui

Abrégé

A method for creating a layout element includes receiving an integrated circuit (IC) layout pattern that includes a shape corresponding to a component of the layout pattern. A mathematical definition of the shape is retrieved from a shape database, and parameter inputs regarding characteristics of the shape are received. A vertex listing is created based on the mathematical definition of the shape and the parameter inputs, and a layout element is created based on the vertex listing.

Classes IPC  ?

  • G06F 30/392 - Conception de plans ou d’agencements, p. ex. partitionnement ou positionnement
  • G06F 113/10 - Fabrication additive, p. ex. impression en 3D

71.

PHOTODETECTOR WITH DISTRIBUTED BRAGG REFLECTOR AND METHODS OF FORMING THE SAME

      
Numéro d'application 18496969
Statut En instance
Date de dépôt 2023-10-30
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company Limited (Taïwan, Province de Chine)
Inventeur(s)
  • Chiang, Chen-Hao
  • Chang, Li-Weng
  • Wu, Jiun Yi
  • Yu, Chen-Hua

Abrégé

A semiconductor device includes: a photodiode including a germanium material portion laterally extending along a first horizontal direction, a p-doped silicon portion, and an n-doped silicon portion; and a distributed Bragg reflector including multiple periodic repetitions of a unit layer stack including a first material layer and a second material layer, wherein interfaces between vertically-extending portions of material layers within the distributed Bragg reflector are perpendicular to the first horizontal direction, and wherein the distributed Bragg reflector is in contact with the germanium material portion.

Classes IPC  ?

  • H01L 31/0232 - Dispositifs à semi-conducteurs sensibles aux rayons infrarouges, à la lumière, au rayonnement électromagnétique d'ondes plus courtes, ou au rayonnement corpusculaire, et spécialement adaptés, soit comme convertisseurs de l'énergie dudit rayonnement e; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives; Leurs détails - Détails Éléments ou dispositions optiques associés au dispositif
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 31/028 - Matériaux inorganiques comprenant, à part les matériaux de dopage ou autres impuretés, uniquement des éléments du groupe IV de la classification périodique

72.

SEMICONDUCTOR PROCESS APPARATUS AND METHOD

      
Numéro d'application 18384253
Statut En instance
Date de dépôt 2023-10-26
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company LTD (Taïwan, Province de Chine)
Inventeur(s)
  • Cheng, Chun-Wei
  • Chuang, Kai Fu
  • Lin, Yi-Ming
  • Chen, Kuo-Chiang
  • Chao, Chih-Chen
  • Chen, Ting-Cheng

Abrégé

A process gas is flowed from an input metal gas line that is electrically grounded to an output metal gas line via a connecting tube which is electrically insulating. Couplings between the metal gas lines and the connecting tube are sealed with gas couplings. Each gas coupling includes a sealing gasket, and a clamp compressing the sealing gasket between an end of the respective metal gas line and a corresponding end of the connecting tube. The process gas is delivered to a semiconductor processing tool via the output metal gas line. At least one operation is performed at the semiconductor processing tool that utilizes both the process gas delivered to the process tool via the output metal gas line and an electrical voltage of at least 2 kilovolts. The connecting tube may be sapphire. The sealing gaskets may be polytetrafluoroethylene (PTFE) sealing gaskets.

Classes IPC  ?

  • H01J 37/317 - Tubes à faisceau électronique ou ionique destinés aux traitements localisés d'objets pour modifier les propriétés des objets ou pour leur appliquer des revêtements en couche mince, p. ex. implantation d'ions

73.

INTEGRATED CHIP STRUCTURE WITH HIGH THERMAL CONDUCTIVITY LAYER

      
Numéro d'application 18419915
Statut En instance
Date de dépôt 2024-01-23
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Lin, Ming-Hsien
  • Liao, Kun-Yen
  • Chen, Hsin-Ping
  • Wu, Chia-Tien
  • Chang, Hsiao-Kang

Abrégé

The present disclosure relates to an integrated chip. The integrated chip includes a plurality of conductive interconnects arranged within a dielectric structure having a plurality of inter-level dielectric (ILD) layers stacked onto one another. A heat pipe vertically extends through the plurality of ILD layers. A high thermal conductivity layer is sandwiched between neighboring ones of the plurality of ILD layers. The high thermal conductivity layer laterally extends from over one or more of the plurality of conductive interconnects to the heat pipe.

Classes IPC  ?

  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux
  • H01L 21/3213 - Gravure physique ou chimique des couches, p. ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable
  • H01L 23/427 - Refroidissement par changement d'état, p. ex. caloducs
  • H01L 23/528 - Configuration de la structure d'interconnexion

74.

SEMICONDUCTOR STRUCTURE INCLUDING BOTTOM ISOLATION AND METHOD FOR MANUFACTURING THE SAME

      
Numéro d'application 18498953
Statut En instance
Date de dépôt 2023-10-31
Date de la première publication 2025-05-01
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Chiang, Yu-Ho
  • Chang, Wei-Chen
  • Chao, Jiun-Jie
  • Chen, Jyh-Huei
  • Cheng, Jye-Yen

Abrégé

A semiconductor structure includes: a first fin portion and a second fin portion; a first device and a second device which are respectively disposed on front surfaces of the first and second fin portions, each of the first and second devices including a source/drain portion; an isolation portion disposed to separate the first fin portion from the second fin portion and to separate the first device from the second device; and a hard mask portion disposed beneath a back surface of the isolation portion, and including a main region and two sidewall regions that are respectively located at two opposite sides of the main region so as to separate the main region from the first and second fin portions. The sidewall regions are made of a material different from that of the isolation portion. The main region is made of a material different from the material of the sidewall regions.

Classes IPC  ?

  • H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
  • H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 23/528 - Configuration de la structure d'interconnexion
  • H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
  • H01L 29/786 - Transistors à couche mince

75.

OXYGEN CONTROL DURING GATE FORMATION FOR IMPROVED CHANNEL MOBILITY

      
Numéro d'application 18498305
Statut En instance
Date de dépôt 2023-10-31
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Li, Shang-Rong
  • Lee, Chih-Wei

Abrégé

One aspect of the present disclosure pertains to a method of forming a semiconductor device. The method includes forming a high-k gate dielectric layer over a channel region of a substrate; depositing a work function metal layer over the high-k gate dielectric layer; forming a titanium nitride (TiN) cap over the work function metal layer, wherein the TiN cap includes one or more oxygenated regions; depositing a silicon cap layer over the TiN cap; depositing a conductive glue layer over the silicon cap layer; and depositing a gate fill metal layer over the conductive glue layer to form a gate structure.

Classes IPC  ?

  • H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
  • H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
  • H01L 29/786 - Transistors à couche mince

76.

SRAM POWER-UP RANDOM NUMBER GENERATOR

      
Numéro d'application 19011147
Statut En instance
Date de dépôt 2025-01-06
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Tsai, Jui-Che
  • Yang, Chen-Lin
  • Hsu, Yu-Hao
  • Lu, Shih-Lien Linus

Abrégé

A memory device includes a memory cell array including a plurality of bit cells, each of the bit cells coupled to one of a plurality of bit lines and one of a plurality of word lines, respectively, wherein each of the plurality of bit cells is configured to: present an initial logic state during a random number generator (RNG) phase; and operate as a memory cell at a first voltage level during a SRAM phase; and a controller controlling bit line signals on the plurality of bit lines and word line signals on the plurality of word lines, wherein the controller is configured to: during the RNG phase, precharge the plurality of bit lines to a second voltage level, and determine the initial logic states of the plurality of bit cells to generate at least one random number, wherein the second voltage level is lower than the first voltage level.

Classes IPC  ?

  • G11C 11/418 - Circuits d'adressage
  • G06F 7/58 - Générateurs de nombres aléatoires ou pseudo-aléatoires
  • G11C 5/14 - Dispositions pour l'alimentation
  • G11C 7/12 - Circuits de commande de lignes de bits, p. ex. circuits d'attaque, de puissance, de tirage vers le haut, d'abaissement, circuits de précharge, circuits d'égalisation, pour lignes de bits
  • G11C 8/08 - Circuits de commande de lignes de mots, p. ex. circuits d'attaque, de puissance, de tirage vers le haut, d'abaissement, circuits de précharge, pour lignes de mots

77.

METHOD OF FORMING SEMICONDUCTOR STRUCTURE

      
Numéro d'application 19004422
Statut En instance
Date de dépôt 2024-12-29
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Sheng-Chen
  • Lin, Meng-Han
  • Chia, Han-Jong
  • Yang, Feng-Cheng

Abrégé

A semiconductor structure and method of forming the same are provided. The semiconductor structure includes a circuit structure, an interlayer structure and a memory structure. The circuit structure includes a substrate having semiconductor devices formed thereon; a dielectric structure disposed over the semiconductor devices; and an interconnect layer embedded in the dielectric structure and connected to the semiconductor devices. The interlayer structure is disposed over the circuit structure. The memory structure is disposed over the interlayer structure and physically separated from the circuit structure by the interlayer structure.

Classes IPC  ?

  • H10B 51/20 - Dispositifs de RAM ferro-électrique [FeRAM] comprenant des transistors ferro-électriques de mémoire caractérisés par les agencements tridimensionnels, p. ex. avec des cellules à des niveaux différents de hauteur
  • H01L 23/48 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p. ex. fils de connexion ou bornes
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H10B 51/10 - Dispositifs de RAM ferro-électrique [FeRAM] comprenant des transistors ferro-électriques de mémoire caractérisés par la configuration vue du dessus
  • H10B 51/40 - Dispositifs de RAM ferro-électrique [FeRAM] comprenant des transistors ferro-électriques de mémoire caractérisés par la région de circuit périphérique

78.

METHOD OF FORMING PACKAGE STRUCTURE AND PACKAGE STRUCTURE THEREFROM

      
Numéro d'application 19004418
Statut En instance
Date de dépôt 2024-12-29
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Pan, Chih-Chien
  • Kao, Chin-Fu
  • Cheng, Li-Hui
  • Lu, Szu-Wei

Abrégé

A package structure and methods of forming a package structure are provided. The package structure includes a first die, a second die, a wall structure and an encapsulant. The second die is electrically bonded to the first die. The wall structure is located aside the second die and on the first die. The wall structure is in contact with the first die and a hole is defined within the wall structure for accommodating an optical element. The encapsulant laterally encapsulates the second die and the wall structure.

Classes IPC  ?

  • H01L 25/16 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant de types couverts par plusieurs des sous-classes , , , , ou , p. ex. circuit hybrides
  • G02B 6/13 - Circuits optiques intégrés caractérisés par le procédé de fabrication
  • H01L 21/56 - Encapsulations, p. ex. couches d’encapsulation, revêtements
  • H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/24 - Matériaux de remplissage caractérisés par le matériau ou par ses propriétes physiques ou chimiques, ou par sa disposition à l'intérieur du dispositif complet solide ou à l'état de gel, à la température normale de fonctionnement du dispositif

79.

METAL/DIELECTRIC/METAL HYBRID HARD MASK TO DEFINE ULTRA-LARGE HEIGHT TOP ELECTRODE FOR SUB 60NM MRAM DEVICES

      
Numéro d'application 19005727
Statut En instance
Date de dépôt 2024-12-30
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Yang, Yi
  • Wang, Yu-Jen

Abrégé

A method includes providing a first electrode, forming a stack of magnetic tunneling junction (MTJ) layers on the first electrode, forming a second electrode on the stack of MTJ layers, and forming a hybrid hard mask on the second electrode. The hybrid hard mask includes a first material layer, a second material layer, and a third material layer. The method also includes patterning the third material layer and the second material layer, patterning the first material layer while using the patterned third material layer and the patterned second material layer as a first mask, patterning the second electrode while using the patterned first material layer as a second mask, and etching the stack of MTJ layers and the first electrode using the patterned second electrode as a third mask. After the etching the stack of MTJ layers and the first electrode, the hybrid hard mask is completely removed.

Classes IPC  ?

  • H10N 50/01 - Fabrication ou traitement
  • H10B 61/00 - Dispositifs de mémoire magnétique, p. ex. dispositifs RAM magnéto-résistifs [MRAM]

80.

Structure And Method For Integrating MRAM And Logic Devices

      
Numéro d'application 19011160
Statut En instance
Date de dépôt 2025-01-06
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Shen, Hsiang-Ku
  • Chen, Dian-Hau

Abrégé

A first metal layer extends across memory and logic device regions of a semiconductor structure. A dielectric barrier layer is disposed over the first metal layer. A first dielectric layer is disposed over the dielectric barrier layer in the memory device region and not in the logic device region. Multiple magnetic tunneling junction (MTJ) devices are disposed in the memory device region. A second dielectric layer is disposed in the memory device region and not in the logic device region. The second dielectric layer is disposed over the first dielectric layer and the MTJ devices. An extreme low-k dielectric layer is disposed over the dielectric barrier layer in the logic device region. A conductive feature in the logic device region penetrates the extreme low-k dielectric layer and the dielectric barrier layer to electrically connect to the first metal layer.

Classes IPC  ?

  • H10N 50/01 - Fabrication ou traitement
  • H10B 61/00 - Dispositifs de mémoire magnétique, p. ex. dispositifs RAM magnéto-résistifs [MRAM]
  • H10N 50/80 - Détails de structure

81.

SEMICONDUCTOR DEVICE WITH BUFFER LAYER AND METHOD OF FORMING

      
Numéro d'application 19010618
Statut En instance
Date de dépôt 2025-01-06
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company Limited (Taïwan, Province de Chine)
Inventeur(s)
  • Teng, Ya Chun
  • Cheng, Yun-Wei
  • Sung, Chien Ming

Abrégé

A semiconductor device includes a pixel array comprising a first pixel and a second pixel. The semiconductor device includes a metal structure overlying a portion of a substrate between the first pixel and the second pixel. The semiconductor device includes a first barrier layer adjacent a sidewall of the metal structure. The semiconductor device includes a passivation layer adjacent a sidewall of the first barrier layer. The first barrier layer is between the passivation layer and the metal structure.

Classes IPC  ?

  • H10F 39/00 - Dispositifs intégrés, ou ensembles de plusieurs dispositifs, comprenant au moins un élément couvert par le groupe , p. ex. détecteurs de rayonnement comportant une matrice de photodiodes
  • H10F 39/18 - Capteurs d’images à semi-conducteurs d’oxyde de métal complémentaire [CMOS]Capteurs d’images à matrice de photodiodes

82.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

      
Numéro d'application 19009482
Statut En instance
Date de dépôt 2025-01-03
Date de la première publication 2025-05-01
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Yu, Li-Zhen
  • Chang, Chia-Hao
  • Chuang, Cheng-Chi
  • Lin, Yu-Ming
  • Wang, Chih-Hao

Abrégé

A method includes forming a dummy gate structure over a substrate; forming a source/drain structure over the substrate; replacing the dummy gate structure with a metal gate structure; forming a protection cap over the metal gate structure; forming a source/drain contact over the source/drain structure; performing a selective deposition process to form a first etch stop layer on the protection cap, in which the selective deposition process has a faster deposition rate on the protection cap than on the source/drain contact; depositing a second etch stop layer over the first etch stop layer the source/drain contact; etching the second etch stop layer to form an opening; and forming a via contact in the opening.

Classes IPC  ?

  • H10D 64/23 - Électrodes transportant le courant à redresser, à amplifier, à faire osciller ou à commuter, p. ex. sources, drains, anodes ou cathodes
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p. ex. condensation
  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/3213 - Gravure physique ou chimique des couches, p. ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H10D 64/01 - Fabrication ou traitement
  • H10D 84/01 - Fabrication ou traitement
  • H10D 84/03 - Fabrication ou traitement caractérisés par l'utilisation de technologies basées sur les matériaux utilisant une technologie du groupe IV, p. ex. technologie au silicium ou au carbure de silicium [SiC]
  • H10D 84/83 - Dispositifs intégrés formés dans ou sur des substrats semi-conducteurs qui comprennent uniquement des couches semi-conductrices, p. ex. sur des plaquettes de Si ou sur des plaquettes de GaAs-sur-Si caractérisés par l'intégration d'au moins un composant couvert par les groupes ou , p. ex. l'intégration de transistors IGFET de composants à effet de champ uniquement de transistors FET à grille isolée [IGFET] uniquement
  • H10D 84/85 - Transistors IGFET complémentaires, p. ex. CMOS

83.

TRANSISTOR GATE STRUCTURES AND METHODS OF FORMING THE SAME

      
Numéro d'application 19002361
Statut En instance
Date de dépôt 2024-12-26
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Lee, Hsin-Yi
  • Lin, Jia-Ming
  • Chui, Chi On

Abrégé

In an embodiment, a device includes: a first nanostructure; a second nanostructure; a gate dielectric around the first nanostructure and the second nanostructure, the gate dielectric including dielectric materials; and a gate electrode including: a work function tuning layer on the gate dielectric, the work function tuning layer including a pure work function metal, the pure work function metal of the work function tuning layer and the dielectric materials of the gate dielectric completely filling a region between the first nanostructure and the second nanostructure, the pure work function metal having a composition of greater than 95 at. % metals; an adhesion layer on the work function tuning layer; and a fill layer on the adhesion layer.

Classes IPC  ?

  • H10D 62/10 - Formes, dimensions relatives ou dispositions des régions des corps semi-conducteursFormes des corps semi-conducteurs
  • H01L 21/265 - Bombardement par des radiations ondulatoires ou corpusculaires par des radiations d'énergie élevée produisant une implantation d'ions
  • H10D 84/85 - Transistors IGFET complémentaires, p. ex. CMOS

84.

CHIP PACKAGE STRUCTURE WITH MULTIPLE CHIP STRUCTURES

      
Numéro d'application 19006700
Statut En instance
Date de dépôt 2024-12-31
Date de la première publication 2025-05-01
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Chen, Wei-Yu
  • Su, An-Jhih

Abrégé

A chip package structure is provided. The chip package structure includes a wiring structure. The chip package structure includes a first chip structure over the wiring structure. The chip package structure includes a first molding layer surrounding the first chip structure. The chip package structure includes a second chip structure over the first chip structure and the first molding layer. The chip package structure includes a second molding layer surrounding the second chip structure and over the first chip structure and the first molding layer. The chip package structure includes a third chip structure over the second chip structure and the second molding layer. The chip package structure includes a third molding layer surrounding the third chip structure and over the second chip structure and the second molding layer. The chip package structure includes a fourth molding layer surrounding the second molding layer and the third molding layer.

Classes IPC  ?

  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
  • H01L 21/48 - Fabrication ou traitement de parties, p. ex. de conteneurs, avant l'assemblage des dispositifs, en utilisant des procédés non couverts par l'un uniquement des groupes ou
  • H01L 21/56 - Encapsulations, p. ex. couches d’encapsulation, revêtements
  • H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/31 - Encapsulations, p. ex. couches d’encapsulation, revêtements caractérisées par leur disposition
  • H01L 23/498 - Connexions électriques sur des substrats isolants
  • H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants
  • H01L 25/00 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 25/03 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses

85.

BACKSIDE PN JUNCTION DIODE

      
Numéro d'application 19004755
Statut En instance
Date de dépôt 2024-12-30
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Huang, Yu-Xuan
  • Tsai, Ching-Wei
  • Lee, Jam-Wem
  • Chen, Kuo-Ji
  • Cheng, Kuan-Lun

Abrégé

The present disclosure provides embodiments of semiconductor devices. A semiconductor device according to the present disclosure include an elongated semiconductor member surrounded by an isolation feature and extending lengthwise along a first direction, a first source/drain feature and a second source/drain feature over a top surface of the elongated semiconductor member, a vertical stack of channel members each extending lengthwise between the first source/drain feature and the second source/drain feature along the first direction, a gate structure wrapping around each of the channel members, an epitaxial layer deposited on the bottom surface of the elongated semiconductor member, a silicide layer disposed on the epitaxial layer, and a conductive layer disposed on the silicide layer.

Classes IPC  ?

  • H10D 84/83 - Dispositifs intégrés formés dans ou sur des substrats semi-conducteurs qui comprennent uniquement des couches semi-conductrices, p. ex. sur des plaquettes de Si ou sur des plaquettes de GaAs-sur-Si caractérisés par l'intégration d'au moins un composant couvert par les groupes ou , p. ex. l'intégration de transistors IGFET de composants à effet de champ uniquement de transistors FET à grille isolée [IGFET] uniquement
  • H10D 30/01 - Fabrication ou traitement
  • H10D 30/62 - Transistors à effet de champ à ailettes [FinFET]
  • H10D 62/10 - Formes, dimensions relatives ou dispositions des régions des corps semi-conducteursFormes des corps semi-conducteurs
  • H10D 84/80 - Dispositifs intégrés formés dans ou sur des substrats semi-conducteurs qui comprennent uniquement des couches semi-conductrices, p. ex. sur des plaquettes de Si ou sur des plaquettes de GaAs-sur-Si caractérisés par l'intégration d'au moins un composant couvert par les groupes ou , p. ex. l'intégration de transistors IGFET

86.

GATE OXIDE OF NANOSTRUCTURE TRANSISTOR WITH INCREASED CORNER THICKNESS

      
Numéro d'application 19003044
Statut En instance
Date de dépôt 2024-12-27
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Chen, Shu-Han
  • Li, Yi-Shao
  • Chen, Chun-Heng
  • Chui, Chi On

Abrégé

A device includes a semiconductor nanostructure, and an oxide layer, which includes horizontal portions on a top surface and a bottom surface of the semiconductor nanostructure, vertical portions on sidewalls of the semiconductor nanostructure, and corner portions on corners of the semiconductor nanostructure. The horizontal portions have a first thickness. The vertical portions have a second thickness. The corner portions have a third thickness. Both of the second thickness and the third thickness are greater than the first thickness. A high-k dielectric layer surrounds the oxide layer. A gate electrode surrounds the high-k dielectric layer.

Classes IPC  ?

  • H10D 62/10 - Formes, dimensions relatives ou dispositions des régions des corps semi-conducteursFormes des corps semi-conducteurs
  • H10D 30/62 - Transistors à effet de champ à ailettes [FinFET]
  • H10D 30/67 - Transistors à couche mince [TFT]
  • H10D 64/27 - Électrodes ne transportant pas le courant à redresser, à amplifier, à faire osciller ou à commuter, p. ex. grilles

87.

SEMICONDUCTOR DEVICE WITH GATE DIELECTRIC FORMED USING SELECTIVE DEPOSITION

      
Numéro d'application 19009809
Statut En instance
Date de dépôt 2025-01-03
Date de la première publication 2025-05-01
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
  • NATIONAL TAIWAN NORMAL UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Lee, Tung-Ying
  • Chen, Tse-An
  • Wang, Tzu-Chung
  • Chen, Miin-Jang
  • Yin, Yu-Tung
  • Yang, Meng-Chien

Abrégé

A semiconductor device includes a channel structure, source region, a drain region, metal gate structure, and a self-assembled layer. The source region and the drain region are on opposite sides of the channel structure. A bottom surface of the source region is lower than a bottom surface of the channel structure, and a top surface of the source region is higher than a top surface of the channel structure. The metal gate structure covers the channel structure and between the source region and the drain region. The self-assembled layer is between the source region and the metal gate structure. The self-assembled layer is in contact with the bottom surface of the channel structure but spaced apart from the top surface of the channel structure.

Classes IPC  ?

  • H10D 64/01 - Fabrication ou traitement
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
  • H10D 30/01 - Fabrication ou traitement
  • H10D 30/62 - Transistors à effet de champ à ailettes [FinFET]
  • H10D 30/67 - Transistors à couche mince [TFT]
  • H10D 62/10 - Formes, dimensions relatives ou dispositions des régions des corps semi-conducteursFormes des corps semi-conducteurs

88.

SEMICONDUCTOR DEVICE WITH METAL GATE FILL STRUCTURE

      
Numéro d'application 19004041
Statut En instance
Date de dépôt 2024-12-27
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s) Cheng, Chung-Liang

Abrégé

A semiconductor process system etches gate metals on semiconductor wafers. The semiconductor process system includes a machine learning based analysis model. The analysis model dynamically selects process conditions for an etching process. The process system then uses the selected process conditions data for the next etching process.

Classes IPC  ?

  • H01L 21/306 - Traitement chimique ou électrique, p. ex. gravure électrolytique
  • G06N 20/00 - Apprentissage automatique
  • H01L 21/283 - Dépôt de matériaux conducteurs ou isolants pour les électrodes
  • H10D 62/10 - Formes, dimensions relatives ou dispositions des régions des corps semi-conducteursFormes des corps semi-conducteurs
  • H10D 64/27 - Électrodes ne transportant pas le courant à redresser, à amplifier, à faire osciller ou à commuter, p. ex. grilles
  • H10D 84/83 - Dispositifs intégrés formés dans ou sur des substrats semi-conducteurs qui comprennent uniquement des couches semi-conductrices, p. ex. sur des plaquettes de Si ou sur des plaquettes de GaAs-sur-Si caractérisés par l'intégration d'au moins un composant couvert par les groupes ou , p. ex. l'intégration de transistors IGFET de composants à effet de champ uniquement de transistors FET à grille isolée [IGFET] uniquement

89.

SEMICONDUCTOR STRUCTURE AND MANUFACTURING METHOD THEREOF

      
Numéro d'application 19000749
Statut En instance
Date de dépôt 2024-12-24
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Chen, Ming-Fa
  • Chen, Hsien-Wei
  • Chen, Jie

Abrégé

A semiconductor structure and a manufacturing method thereof are provided. The semiconductor structure includes an integrated circuit (IC) component, an insulating layer laterally encapsulating the IC component, a redistribution structure disposed on the insulating layer and the IC component, and a warpage control portion coupling to a back side of the IC component opposite to the redistribution structure. The redistribution structure is electrically connected to the IC component. The warpage control portion includes a substrate, a patterned dielectric layer disposed between the substrate and the IC component, and a metal pattern embedded in the patterned dielectric layer and electrically isolated from the IC component.

Classes IPC  ?

  • H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 21/56 - Encapsulations, p. ex. couches d’encapsulation, revêtements
  • H01L 23/31 - Encapsulations, p. ex. couches d’encapsulation, revêtements caractérisées par leur disposition

90.

FIBER-TO-CHIP GRATING COUPLER FOR PHOTONIC CIRCUITS

      
Numéro d'application 19012756
Statut En instance
Date de dépôt 2025-01-07
Date de la première publication 2025-05-01
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Kuo, Feng-Wei
  • Cho, Lan-Chou
  • Chen, Huan-Neng
  • Jou, Chewn-Pu

Abrégé

Disclosed is a system and method for communication using an efficient fiber-to-chip grating coupler with a high coupling efficiency. In one embodiment, a method for communication, includes: transmitting optical signals between a semiconductor photonic die on a substrate and an optical fiber array attached to the substrate using at least one corresponding grating coupler on the semiconductor photonic die, wherein the at least one grating coupler each comprises a plurality of coupling gratings, a waveguide, a cladding layer, a first reflection layer and a second reflection layer, wherein the plurality of coupling gratings each comprises at least one step in a first lateral direction and extends in a second lateral direction, wherein the first and second lateral directions are parallel to a surface of the substrate and perpendicular to each other in a grating plane, wherein the first reflection layers are configured such that the plurality of coupling gratings is disposed between the first reflection layer and the cladding layer, wherein the second reflection layer are configured such that the cladding layer is disposed between the second reflection layer and the waveguide.

Classes IPC  ?

  • E04B 1/84 - Éléments absorbant le son
  • B32B 9/00 - Produits stratifiés composés essentiellement d'une substance particulière non couverte par les groupes
  • C01F 11/46 - Sulfates
  • E04B 1/86 - Éléments absorbant le son en forme de dalles
  • E04B 2/74 - Cloisons mobiles ne supportant pas de chargeCloisons à bord supérieur libre
  • E04C 2/04 - Éléments de construction de relativement faible épaisseur pour la construction de parties de bâtiments, p. ex. matériaux en feuilles, dalles ou panneaux caractérisés par des matériaux spécifiés en béton ou autre matériau analogue à la pierre en ciment d'amiante
  • E04C 2/288 - Éléments de construction de relativement faible épaisseur pour la construction de parties de bâtiments, p. ex. matériaux en feuilles, dalles ou panneaux caractérisés par des matériaux spécifiés composés de matériaux couverts par plusieurs des groupes , , ou de matériaux couverts par un de ces groupes avec un matériau non spécifié dans l'un de ces groupes au moins un des matériaux étant isolant composés de matériau isolant et de béton, de pierre ou d'un autre matériau analogue à la pierre
  • G10K 11/168 - Sélection de matériaux de plusieurs couches de matériaux différents, p. ex. sandwiches

91.

SEMICONDUCTOR DEVICE HAVING A METAL PAD AND A PROTECTIVE LAYER FOR CORROSION PREVENTION DUE TO EXPOSURE TO HALOGEN

      
Numéro d'application 19004946
Statut En instance
Date de dépôt 2024-12-30
Date de la première publication 2025-05-01
Propriétaire Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Huang, Chih-Fan
  • Chen, Yen-Ming
  • Li, Chih-Sheng
  • Chen, Hui-Chi
  • Lu, Chih-Hung
  • Chen, Dian-Hau

Abrégé

Semiconductor devices, integrated circuits and methods of forming the same are provided. In one embodiment, a method includes depositing a first dielectric layer over a metal pad disposed over a workpiece, forming a first opening in the first dielectric layer to expose a portion of the metal pad, after the forming of the first opening, forming a second dielectric layer over the exposed portion of the metal pad, depositing a first polymeric material over the second dielectric layer, forming a second opening through the first polymeric material and the second dielectric layer to expose the metal pad, and forming a bump feature over the exposed metal pad.

Classes IPC  ?

  • H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

92.

FORMING ISOLATION REGIONS WITH LOW PARASITIC CAPACITANCE AND REDUCED DAMAGE

      
Numéro d'application 18408205
Statut En instance
Date de dépôt 2024-01-09
Date de la première publication 2025-04-24
Propriétaire Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s) Lin, Tzu-Ging

Abrégé

A method includes forming a plurality of semiconductor regions, forming a plurality of gate stacks, wherein the plurality of gate stacks are on first portions of the plurality of semiconductor regions, and etching the plurality of gate stacks to form a plurality of openings in the plurality of gate stacks. The plurality of openings include a first opening in a first gate stack, and a second opening in a second gate stack. The first opening and the second opening are immediately neighboring each other and have an overlap with an overlap distance equal to or greater than a pitch of the plurality of semiconductor regions. The plurality of semiconductor regions are etched to extend the plurality of openings downwardly to be between dielectric isolation regions, followed by filling the plurality of openings to form fin isolation regions. The gate isolations are spaced part from the fin isolation regions.

Classes IPC  ?

  • H01L 21/762 - Régions diélectriques
  • H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
  • H01L 29/786 - Transistors à couche mince

93.

MEMORY DEVICES HAVING MIDDLE STRAP AREAS FOR ROUTING POWER SIGNALS

      
Numéro d'application 18427248
Statut En instance
Date de dépôt 2024-01-30
Date de la première publication 2025-04-24
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Ping-Wei
  • Chen, Jui-Lin
  • Chang, Feng-Ming

Abrégé

One aspect of the present disclosure pertains to a device. The device includes a memory macro having a frontside and a backside along a vertical direction. The memory macro includes edge strap areas extending lengthwise along a first direction at edges of the memory macro, a memory cell area having a plurality of memory cells, where the memory cell area is disposed between the edge strap areas along a second direction perpendicular to the first direction, and a middle strap area extending lengthwise along the first direction and disposed between the edge strap areas along the second direction, where the middle strap area divides the memory cell area into two memory cell domains. The middle strap area includes a feedthrough circuit that routes a power signal line of one of the plurality of memory cells to the backside of the memory macro.

Classes IPC  ?

  • G11C 11/412 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliersÉléments d'emmagasinage correspondants utilisant des éléments électriques utilisant des dispositifs à semi-conducteurs utilisant des transistors formant des cellules avec réaction positive, c.-à-d. des cellules ne nécessitant pas de rafraîchissement ou de régénération de la charge, p. ex. multivibrateur bistable, déclencheur de Schmitt utilisant uniquement des transistors à effet de champ
  • G11C 11/417 - Circuits auxiliaires, p. ex. pour l'adressage, le décodage, la commande, l'écriture, la lecture, la synchronisation ou la réduction de la consommation pour des cellules de mémoire du type à effet de champ
  • H10B 10/00 - Mémoires statiques à accès aléatoire [SRAM]

94.

SEMICONDUCTOR DEVICE AND METHOD OF FORMING THE SAME

      
Numéro d'application 18489010
Statut En instance
Date de dépôt 2023-10-18
Date de la première publication 2025-04-24
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Siao, Yi-Syuan
  • Sun, Yu Tao
  • Chou, Meng-Han
  • Liu, Su-Hao
  • Chui, Chi On

Abrégé

A method of forming a semiconductor device includes the following operations. A substrate is provided with a recess therein. An insulating layer is formed on a bottom of the recess. A seed layer is formed on the insulating layer. An epitaxial layer is grown in the recess from the seed layer.

Classes IPC  ?

  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/3205 - Dépôt de couches non isolantes, p. ex. conductrices ou résistives, sur des couches isolantesPost-traitement de ces couches
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/786 - Transistors à couche mince

95.

SEMICONDUCTOR DEVICE AND ELECTROSTATIC DISCHARGE CLAMP CIRCUIT

      
Numéro d'application 18489025
Statut En instance
Date de dépôt 2023-10-18
Date de la première publication 2025-04-24
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Chu, Li-Wei
  • Lin, Wun-Jie

Abrégé

The present disclosure provides a semiconductor device and an electrostatic discharge (ESD) clamp circuit. The semiconductor device includes a voltage divider, a cascoded inverter, and a discharge circuit. The voltage divider is electrically coupled between a power supply voltage and an output voltage of the semiconductor device. The cascoded inverter is electrically coupled to the voltage divider. The discharge circuit is electrically coupled to the cascoded inverter. The cascoded inverter is configured to turn on the discharge circuit o discharge an electrostatic discharge (ESD) current in response to an ESD event occurring on the power supply voltage or the output voltage when the semiconductor device is in an ESD mode.

Classes IPC  ?

  • H02H 9/04 - Circuits de protection de sécurité pour limiter l'excès de courant ou de tension sans déconnexion sensibles à un excès de tension

96.

PVD TARGET STRUCTURE AND METHOD FOR PREPARING THE SAME

      
Numéro d'application 18489851
Statut En instance
Date de dépôt 2023-10-19
Date de la première publication 2025-04-24
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Chia-Hsi
  • Chen, Yen-Yu

Abrégé

A PVD target structure is provided. The PVD target structure includes a target body having a first side and a second side opposite to the first side. The first side of the target body includes a first region and a second region surrounding the first region. The second region comprises a knurled profile. A method for preparing PVD target structure is also provided.

Classes IPC  ?

97.

SEMICONDUCTOR PACKAGE AND MANUFACTURING METHOD THEREOF

      
Numéro d'application 18489853
Statut En instance
Date de dépôt 2023-10-19
Date de la première publication 2025-04-24
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
  • Chen, Hsien-Wei
  • Lin, Meng-Liang
  • Chen, Ying-Ju
  • Jeng, Shin-Puu

Abrégé

A semiconductor package includes a chiplet, a first underfill surrounding the chiplet, and a first encapsulant laterally covering the first underfill. The chiplet includes a semiconductor substrate and die connectors disposed over the semiconductor substrate. The first underfill includes first fillers, and a portion of the first fillers has a substantially planar surface at a first surface of the first underfill. The first encapsulant includes a first surface and a second surface opposite to the first surface, the first surface is substantially leveled with surfaces of the die connectors, and the second surface is substantially leveled with the first surface of the first underfill.

Classes IPC  ?

  • H01L 23/31 - Encapsulations, p. ex. couches d’encapsulation, revêtements caractérisées par leur disposition
  • H01L 21/56 - Encapsulations, p. ex. couches d’encapsulation, revêtements
  • H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/29 - Encapsulations, p. ex. couches d’encapsulation, revêtements caractérisées par le matériau
  • H01L 23/367 - Refroidissement facilité par la forme du dispositif
  • H01L 23/48 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p. ex. fils de connexion ou bornes
  • H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants
  • H01L 25/00 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 25/10 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs ayant des conteneurs séparés
  • H01L 25/18 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant de types prévus dans plusieurs différents groupes principaux de la même sous-classe , , , , ou
  • H10B 80/00 - Ensembles de plusieurs dispositifs comprenant au moins un dispositif de mémoire couvert par la présente sous-classe

98.

SEMICONDUCTOR DEVICE AND ELECTROSTATIC DISCHARGE CLAMP CIRCUIT

      
Numéro d'application 18489872
Statut En instance
Date de dépôt 2023-10-19
Date de la première publication 2025-04-24
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Chu, Li-Wei
  • Lin, Wun-Jie

Abrégé

The present disclosure provides a semiconductor device and an electrostatic discharge (ESD) clamp circuit. The semiconductor device includes a first resistance-capacitance (RC) timer circuit, a second RC timer circuit, a voltage pull-down circuit, a voltage pull-up circuit, a discharge circuit, and a discharge control circuit. The first RC timer circuit is coupled between a first power supply voltage and a reference voltage. The second RC timer circuit is coupled between a second power supply voltage and the reference voltage. The voltage pull-up circuit is coupled between the second power supply voltage and the reference voltage through a first resistor. The discharge circuit is coupled between the second power supply voltage and the reference voltage. The discharge control circuit is coupled between a third node and the reference voltage, and controls the discharge circuit using a first voltage generated by the first RC timer circuit.

Classes IPC  ?

  • H01L 27/02 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface

99.

SEMICONDUCTOR STRUCTURE AND METHOD FOR FORMING THE SAME

      
Numéro d'application 18490081
Statut En instance
Date de dépôt 2023-10-19
Date de la première publication 2025-04-24
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s) Liaw, Jhon-Jhy

Abrégé

A semiconductor structure includes a substrate; first nanostructures suspended over and vertically arranged over the substrate; a first gate structure wrapped around each of the first nanostructures; and gate spacers formed on opposite sides of the first gate structure and over a topmost one of the first nanostructures. The semiconductor structure further includes first source/drain features attached to opposite sides of the first nanostructures; and a first bottom dielectric layer formed over the substrate and below the first nanostructures. The first bottom dielectric layer is vertically sandwiched between the substrate and the first gate structure.

Classes IPC  ?

  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
  • H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
  • H01L 29/786 - Transistors à couche mince

100.

MEMORY DEVICE AND METHOD FOR OPERATING THE SAME

      
Numéro d'application 18490234
Statut En instance
Date de dépôt 2023-10-19
Date de la première publication 2025-04-24
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
  • NATIONAL TAIWAN UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
  • Hwu, Jenn-Gwo
  • Huang, Sung-Wei

Abrégé

A method for forming a memory device is provided. The method includes forming first and second metal-insulator-semiconductor (MIS) structures, wherein each of the first and second MIS structures comprises a semiconductor layer, an insulating layer over the semiconductor layer, and a metal electrode layer over the insulating layer; performing a first breakdown process to the first MIS structure; performing a second breakdown process to the second MIS structure; performing a first read operation by supplying a reading voltage pulse to the metal electrode layer of the first MIS structure and detecting a first read current flowing through the first MIS structure; and performing a second read operation by supplying the reading voltage pulse to the metal electrode layer of the second MIS structure and detecting a second read current flowing through the second MIS structure, wherein the second read current is greater than the first read current.

Classes IPC  ?

  • G11C 17/18 - Circuits auxiliaires, p. ex. pour l'écriture dans la mémoire
  • G11C 17/16 - Mémoires mortes programmables une seule foisMémoires semi-permanentes, p. ex. cartes d'information pouvant être replacées à la main dans lesquelles le contenu est déterminé en établissant, en rompant ou en modifiant sélectivement les liaisons de connexion par une modification définitive de l'état des éléments de couplage, p. ex. mémoires PROM utilisant des liaisons électriquement fusibles
  • H10B 20/25 - Dispositifs ROM programmable une seule fois, p. ex. utilisant des jonctions électriquement fusibles
  1     2     3     ...     100        Prochaine page