Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Wu, Chia-Tien
Chu, Wei-Chen
Liao, Yu-Chieh
Chen, Hsin-Ping
Abrégé
The present disclosure provides a semiconductor device. The semiconductor device includes a substrate comprising a device region, a first interconnect layer disposed over the device region, and a second interconnect layer disposed over the first interconnect layer. The first interconnect layer includes first metal lines and second metal lines. A height of the first metal lines is greater than a height of the second metal lines. A thickness of the first interconnect layer is different from a thickness of the second interconnect layer.
H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
G11C 11/412 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliersÉléments d'emmagasinage correspondants utilisant des éléments électriques utilisant des dispositifs à semi-conducteurs utilisant des transistors formant des cellules avec réaction positive, c.-à-d. des cellules ne nécessitant pas de rafraîchissement ou de régénération de la charge, p. ex. multivibrateur bistable, déclencheur de Schmitt utilisant uniquement des transistors à effet de champ
G11C 11/417 - Circuits auxiliaires, p. ex. pour l'adressage, le décodage, la commande, l'écriture, la lecture, la synchronisation ou la réduction de la consommation pour des cellules de mémoire du type à effet de champ
H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
H01L 23/528 - Configuration de la structure d'interconnexion
H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux
H10B 10/00 - Mémoires statiques à accès aléatoire [SRAM]
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chen, Wen-Chung
Wei, Chia-Yu
Lee, Kuo-Cheng
Chiu, Cheng-Hao
Yu, Hsiu Chi
Huang, Hsun-Ying
Su, Ming-Hong
Abrégé
An image sensor structure and methods of forming the same are provided. An image sensor structure according to the present disclosure includes a semiconductor substrate including a photodiode, a transfer gate transistor disposed over the semiconductor substrate and having a first channel area, a first dielectric layer disposed over the semiconductor substrate, a semiconductor layer disposed over the first dielectric layer, a source follower transistor disposed over the semiconductor layer and having a second channel area, a row select transistor disposed over the semiconductor layer and having a third channel area, and a reset transistor disposed over the semiconductor layer and having a fourth channel area. The second channel area is greater than the first channel area, the third channel area or the fourth channel area.
H10F 39/00 - Dispositifs intégrés, ou ensembles de plusieurs dispositifs, comprenant au moins un élément couvert par le groupe , p. ex. détecteurs de rayonnement comportant une matrice de photodiodes
H10F 39/18 - Capteurs d’images à semi-conducteurs d’oxyde de métal complémentaire [CMOS]Capteurs d’images à matrice de photodiodes
3.
SEMICONDUCTOR PACKAGE AND METHOD OF MANUFACTURING THE SAME
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Shen, Wen-Wei
Huang, Sung-Hui
Hou, Shang-Yun
Jan, Sen-Bor
Huang, Szu-Po
Huang, Kuan-Yu
Abrégé
A semiconductor device includes: a substrate; a plurality of dies attached to a first side of the substrate; a molding material on the first side of the substrate around the plurality of dies; a first redistribution structure on a second side of the substrate opposing the first side, where the first redistribution structure includes dielectric layers and conductive features in the dielectric layers, where the conductive features include conductive lines, vias, and dummy metal patterns isolated from the conductive lines and the vias; and conductive connectors attached to a first surface of the first redistribution structure facing away from the substrate.
H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
H01L 21/56 - Encapsulations, p. ex. couches d’encapsulation, revêtements
H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 23/498 - Connexions électriques sur des substrats isolants
H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants
4.
POLYMER LAYERS EMBEDDED WITH METAL PADS FOR HEAT DISSIPATION
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chuang, Hao-Hsiang
Liang, Shih-Wei
Yang, Ching-Feng
Wu, Kai-Chiang
Tsai, Hao-Yi
Wang, Chuei-Tang
Yu, Chen-Hua
Abrégé
An integrated circuit structure includes a metal pad, a passivation layer including a portion over the metal pad, a first polymer layer over the passivation layer, and a first Post-Passivation Interconnect (PPI) extending into to the first polymer layer. The first PPI is electrically connected to the metal pad. A dummy metal pad is located in the first polymer layer. A second polymer layer is overlying the first polymer layer, the dummy metal pad, and the first PPI. An Under-Bump-Metallurgy (UBM) extends into the second polymer layer to electrically couple to the dummy metal pad.
H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
H01L 23/525 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées avec des interconnexions modifiables
H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux
H01L 25/00 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
5.
MANUFACTURING METHOD OF SEMICONDUCTOR STRUCTURE AND PACKAGE STRUCTURE HAVING THE SAME
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Kuo, Fu-Chiang
Chang, Jen-Yuan
Abrégé
A semiconductor structure comprises a semiconductor substrate, a first trench capacitor, and a second trench capacitor. The substrate has first trenches arranged in a first arrangement direction with each first trench extending in a first extension direction and second trenches arranged in a second arrangement direction with each second trench extending in a second extension direction. The first trench capacitor includes first capacitor segments disposed inside the first trenches. The second trench capacitor includes second capacitor segments disposed inside the second trenches. One first capacitor segment of the first capacitor segments has an extending length different from that of another first capacitor segment of the first capacitor segments, and one second capacitor segment of the second capacitor segments has an extending length different from that of another second capacitor segment of the second capacitor segments.
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 23/498 - Connexions électriques sur des substrats isolants
H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
6.
PACKAGES WITH DTCS ON OTHER DEVICE DIES AND METHODS OF FORMING THE SAME
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Wen, Ke-Gang
Wu, Yu-Bey
Hsiao, Tsung-Chieh
Wang, Liang-Wei
Chen, Dian-Hau
Abrégé
A method includes forming first integrated circuits on a front side of a semiconductor substrate of a first device die, forming a trench capacitor extending from a backside of the semiconductor substrate into the semiconductor substrate, and forming a first through-via and a second through-via penetrating through the semiconductor substrate. The trench capacitor is electrically coupled between the first through-via and the second through-via. A second device die is bonded to the first die. The second device die includes second integrated circuits, and power nodes of the second integrated circuits are electrically coupled to the first through-via and the second through-via.
H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 23/48 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p. ex. fils de connexion ou bornes
H10D 1/68 - Condensateurs n’ayant pas de barrières de potentiel
7.
IMAGE SENSOR HAVING A LATERAL PHOTODETECTOR STRUCTURE
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Huang, Kuo-Chin
Wang, Tzu-Jui
Abrégé
The present disclosure relates to an image sensor including a first semiconductor layer having a first doping type. A second semiconductor layer having the first doping type is between sidewalls of the first semiconductor layer and extends vertically along the sidewalls of the first semiconductor layer from a bottom side of the first semiconductor layer toward a top side of the first semiconductor layer. A first doped region having the first doping type is in the first semiconductor layer and laterally beside the second semiconductor layer. The first doped region extends vertically along a sidewall of the second semiconductor layer. A second doped region having a second doping type is in the first semiconductor layer and laterally beside the first doped region. The second doped region extends vertically along a side of the first doped region and forms a p-n junction with the first doped region.
H10F 39/00 - Dispositifs intégrés, ou ensembles de plusieurs dispositifs, comprenant au moins un élément couvert par le groupe , p. ex. détecteurs de rayonnement comportant une matrice de photodiodes
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Juang, Jing-Ye
Chen, Hsien-Wei
Jeng, Shin-Puu
Abrégé
A method of forming a semiconductor structure includes the following operations. First deep vias are formed in a first glass layer. A first redistribution layer structure is formed on a first side of the first glass layer, and the first redistribution layer structure is electrically connected to the first deep vias. A carrier is bonded to the first redistribution layer structure. The first glass layer is grinded until surfaces of the first deep vias are exposed. A second redistribution layer structure is formed on a second side of the first glass layer opposite to the first side, and the second redistribution layer structure is electrically connected to the first deep vias.
H01L 21/48 - Fabrication ou traitement de parties, p. ex. de conteneurs, avant l'assemblage des dispositifs, en utilisant des procédés non couverts par l'un uniquement des groupes ou
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 23/498 - Connexions électriques sur des substrats isolants
H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
H01L 25/16 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant de types couverts par plusieurs des sous-classes , , , , ou , p. ex. circuit hybrides
9.
PHOTONIC SEMICONDUCTOR PACKAGE AND METHOD OF FORMING THE SAME
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Shao, Tung-Liang
Huang, Yu-Sheng
Yu, Chen-Hua
Abrégé
A package includes an interposer, wherein the interposer includes a first waveguide and a first reflector that is optically coupled to the first waveguide; and an optical package attached to the interposer, wherein the optical package includes a second waveguide; and a second reflector that is optically coupled to the second waveguide, wherein the second reflector is vertically aligned with the first reflector.
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Wang, Yu-Shih
Yeh, Po-Nan
Chiu, U-Ting
Lin, Chun-Neng
Chen, Chia-Cheng
Chen, Liang-Yin
Yeh, Ming-Hsi
Huang, Kuo-Bin
Abrégé
Semiconductor devices and methods of manufacture are described herein. A method includes forming an opening through an interlayer dielectric (ILD) layer to expose a contact etch stop layer (CESL) disposed over a conductive feature in a metallization layer. The opening is formed using photo sensitive materials, lithographic techniques, and a dry etch process that stops on the CESL. Once the CESL is exposed, a CESL breakthrough process is performed to extend the opening through the CESL and expose the conductive feature. The CESL breakthrough process is a flexible process with a high selectivity of the CESL to ILD layer. Once the CESL breakthrough process has been performed, a conductive fill material may be deposited to fill or overfill the opening and is then planarized with the ILD layer to form a contact plug over the conductive feature in an intermediate step of forming a semiconductor device.
H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux
11.
SEMICONDUCTOR PACKAGE, METHOD OF BONDING WORKPIECES AND METHOD OF MANUFACTURING SEMICONDUCTOR PACKAGE
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Wu, Bingchien
Wu, Wei-Jen
Lo, Chun-Yen
Abrégé
A semiconductor package includes a first semiconductor device and a second semiconductor device. The first semiconductor device includes a first alignment pattern having a plurality of first scale patterns arranged in a first direction. The second semiconductor device is mounted over the first semiconductor device and includes a second alignment pattern having a plurality of second scale patterns arranged in a second direction parallel to the first direction, and a scale pitch of the first scale patterns is different from a scale pitch of the second scale patterns.
H01L 25/10 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs ayant des conteneurs séparés
H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
H01L 23/544 - Marques appliquées sur le dispositif semi-conducteur, p. ex. marques de repérage, schémas de test
H01L 25/00 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
12.
PASSIVE THERMAL CONTROL LAYER FOR INTEGRATED DEVICE
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Vaziri, Sam
Datye, Isha
Bao, Xinyu
Abrégé
Some embodiments relate to an integrated device, including a substrate having at least one active component; an interconnect structure disposed on the substrate; a bonding layer disposed over the interconnect structure; a carrier substrate disposed over the bonding structure; a heat dissipating module disposed over the carrier substrate; and a first thermal control layer disposed between the carrier substrate and the heat dissipating module, the bonding layer and the interconnect structure, or the carrier substrate and the bonding layer, wherein the first thermal control layer comprises a phase change material (PCM).
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Lee, Hsin-Yi
Tung, Yen-Tien
Chen, Ji-Cheng
Chang, Weng
Chui, Chi On
Abrégé
A method includes forming a dummy gate stack over a semiconductor region, forming a source/drain region on a side of the dummy gate stack, removing the dummy gate stack to form a trench, with the semiconductor region being exposed to the trench, forming a gate dielectric layer extending into the trench, and depositing a work-function tuning layer on the gate dielectric layer. The work-function tuning layer comprises aluminum and carbon. The method further includes depositing a p-type work-function layer over the work-function tuning layer, and performing a planarization process to remove excess portions of the p-type work-function layer, the work-function tuning layer, and the gate dielectric layer to form a gate stack.
H10D 84/83 - Dispositifs intégrés formés dans ou sur des substrats semi-conducteurs qui comprennent uniquement des couches semi-conductrices, p. ex. sur des plaquettes de Si ou sur des plaquettes de GaAs-sur-Si caractérisés par l'intégration d'au moins un composant couvert par les groupes ou , p. ex. l'intégration de transistors IGFET de composants à effet de champ uniquement de transistors FET à grille isolée [IGFET] uniquement
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Yeh, Shu-Shen
Chen, Chien Hung
Yew, Ming-Chih
Lin, Po-Yao
Jeng, Shin-Puu
Abrégé
A semiconductor package including a recessed stiffener ring and a method of forming are provided. The semiconductor package may include a substrate, a semiconductor die bonded to the substrate, an underfill between the semiconductor die and the substrate, and a stiffener ring attached to the substrate, wherein the stiffener ring encircles the semiconductor die in a top view. The stiffener ring may include a recess that faces the semiconductor die.
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chen, Hsin-Hung
Yaung, Dun-Nian
Liu, Jen-Cheng
Hung, Feng-Chi
Kuo, Wen-Chang
Hsu, Hung-Wen
Liu, Shih-Chang
Abrégé
In some embodiments, the present disclosure relates to a method for forming an image sensor and associated device structure. A backside deep trench isolation (BDTI) structure is formed in a substrate separating a plurality of pixel regions. The BDTI structure encloses a plurality of photodiodes and comprising a first BDTI component arranged at a crossroad of the plurality of pixel regions and a second BDTI component arranged at remaining peripheries of the plurality of pixel regions. The first BDTI component has a first depth from a backside of the substrate smaller than a second depth of the second BDTI component.
H10F 39/00 - Dispositifs intégrés, ou ensembles de plusieurs dispositifs, comprenant au moins un élément couvert par le groupe , p. ex. détecteurs de rayonnement comportant une matrice de photodiodes
H10F 39/18 - Capteurs d’images à semi-conducteurs d’oxyde de métal complémentaire [CMOS]Capteurs d’images à matrice de photodiodes
16.
METHOD FOR MANUFACTURING SEMICONDUCTOR STRUCTURE WITH MATERIAL IN MONOCRYSTALLINE PHASE
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
Cheng, Kai-Fang
Chang, Hsiao-Kang
Abrégé
A method for manufacturing a semiconductor structure includes: forming a dielectric layer on a base structure; forming a trench in the dielectric layer to expose the base structure; forming a metal contact in the trench; and performing a plurality of first atomic layer deposition (ALD) cycles to form a plurality of first atomic layers which cover the dielectric layer and the metal contact and which serve as an etch stop layer. Each of the first ALD cycles includes: forming a corresponding one of the first atomic layers; and performing a treatment to convert the corresponding first atomic layer into monocrystalline phase at a temperature not greater than 425° C.
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
C23C 16/30 - Dépôt de composés, de mélanges ou de solutions solides, p. ex. borures, carbures, nitrures
C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
17.
METHOD OF FORMING METAL GATE FIN ELECTRODE STRUCTURE BY ETCHING BACK METAL FILL
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chiu, Shih-Hang
Wang, Wei-Cheng
Wu, Chung-Chiang
Chui, Chi On
Abrégé
Embodiments provide a replacement metal gate in a FinFET or nanoFET which utilizes a conductive metal fill. The conductive metal fill has an upper surface which has a fin shape which may be used for a self-aligned contact.
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Liu, Chun-Yu
Chiu, Tsung-Kai
Huang, Shao-Tse
Chen, Szu-Hua
Yang, Ku-Feng
Liao, Szuya
Abrégé
A method includes forming a lower semiconductor region, forming an upper semiconductor region overlapping the lower semiconductor region, forming a lower gate dielectric and an upper gate dielectric on the lower semiconductor region and the upper semiconductor region, respectively, forming a lower gate electrode on the lower gate dielectric and the upper gate dielectric, etching back the lower gate electrode, forming a gate isolation layer on the lower gate electrode that has been etched back, and forming an upper gate electrode over the gate isolation layer. The upper gate electrode is on the upper gate dielectric.
H10D 30/43 - Transistors FET ayant des canaux à gaz de porteurs de charge de dimension nulle [0D], à une dimension [1D] ou à deux dimensions [2D] ayant des canaux à gaz de porteurs de charge à une dimension, p. ex. transistors FET à fil quantique ou transistors ayant des canaux à confinement quantique à une dimension
H10D 84/03 - Fabrication ou traitement caractérisés par l'utilisation de technologies basées sur les matériaux utilisant une technologie du groupe IV, p. ex. technologie au silicium ou au carbure de silicium [SiC]
H10D 84/85 - Transistors IGFET complémentaires, p. ex. CMOS
19.
IMAGE SENSOR AND METHOD OF MANUFACTURING IMAGE SENSOR
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chen, Chung-Lei
Lin, Yen-Liang
Hsiao, Ru-Shang
Abrégé
The present disclosure provides an image sensor and a method of manufacturing the same. The image sensor includes a substrate and a gate electrode. The gate electrode is disposed proximate to a first side of the substrate. The gate electrode includes a first gate portion, a second gate portion, and a third gate portion. The first gate portion is disposed over the first side of the substrate. The second gate portion is disposed within the substrate and connected to the first gate portion. The third gate portion is disposed below and connected to the second gate portion. A first width of the first gate portion is greater than a second width of the second gate portion, and a third width of the third gate portion is greater than the second width.
H10F 39/00 - Dispositifs intégrés, ou ensembles de plusieurs dispositifs, comprenant au moins un élément couvert par le groupe , p. ex. détecteurs de rayonnement comportant une matrice de photodiodes
20.
PHOTONIC SEMICONDUCTOR PACKAGE AND METHOD OF FORMING THE SAME
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Yu, Chen-Hua
Shao, Tung-Liang
Huang, Yu-Sheng
Abrégé
A package includes an interposer; a photonic interconnect structure connected to the interposer, wherein the photonic interconnect structure includes: photonic components; waveguides that are optically coupled to the photonic components; and an electronic die that is electrically coupled to the photonic components; and dies electrically connected to the interposer, wherein the dies are electrically coupled to the photonic interconnect structure through the interposer.
G02B 6/12 - Guides de lumièreDétails de structure de dispositions comprenant des guides de lumière et d'autres éléments optiques, p. ex. des moyens de couplage du type guide d'ondes optiques du genre à circuit intégré
G02B 6/13 - Circuits optiques intégrés caractérisés par le procédé de fabrication
21.
SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Shen, Hui-Hung
Yu, Ke-Jing
Chang, Yu-Chen
Cheng, Anhao
Lin, Yen-Liang
Hsiao, Ru-Shang
Abrégé
A semiconductor device and method of manufacturing the same are provided. The semiconductor device includes a substrate and a capacitor structure. The capacitor structure is disposed on the substrate. The capacitor structure includes a first electrode and a plurality of second electrodes. At least one of the plurality of second electrodes is embedded within the first electrode.
H10D 84/80 - Dispositifs intégrés formés dans ou sur des substrats semi-conducteurs qui comprennent uniquement des couches semi-conductrices, p. ex. sur des plaquettes de Si ou sur des plaquettes de GaAs-sur-Si caractérisés par l'intégration d'au moins un composant couvert par les groupes ou , p. ex. l'intégration de transistors IGFET
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Lin, Shih-Yao
Kao, Kuei-Yu
Chen, Chen-Ping
Lin, Chih-Han
Chang, Ming-Ching
Chen, Chao-Cheng
Abrégé
A method includes forming a dummy gate electrode on a semiconductor region, forming a first gate spacer on a sidewall of the dummy gate electrode, and removing an upper portion of the first gate spacer to form a recess, wherein a lower portion of the first gate spacer remains, filling the recess with a second gate spacer, removing the dummy gate electrode to form a trench, and forming a replacement gate electrode in the trench.
H01L 21/3213 - Gravure physique ou chimique des couches, p. ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Lung, Chun-Ming
Chang, Che-Hao
Wu, Zhen-Cheng
Chui, Chi On
Abrégé
A method of forming a semiconductor device includes: forming a fin structure protruding above a substrate, where the fin structure includes a fin and a layer stack over the fin, the layer stack comprising alternating layers of a first semiconductor material and a second semiconductor material; forming a first dummy gate structure and a second dummy gate structure over the fin structure; forming an opening in the fin structure between the first dummy gate structure and the second dummy gate structure; converting an upper layer of the fin exposed at a bottom of the opening into a seed layer by performing an implantation process; selectively depositing a dielectric layer over the seed layer at the bottom of the opening; and selectively growing a source/drain material on opposing sidewalls of the second semiconductor material exposed by the opening.
H10D 30/43 - Transistors FET ayant des canaux à gaz de porteurs de charge de dimension nulle [0D], à une dimension [1D] ou à deux dimensions [2D] ayant des canaux à gaz de porteurs de charge à une dimension, p. ex. transistors FET à fil quantique ou transistors ayant des canaux à confinement quantique à une dimension
H10D 62/10 - Formes, dimensions relatives ou dispositions des régions des corps semi-conducteursFormes des corps semi-conducteurs
H10D 84/03 - Fabrication ou traitement caractérisés par l'utilisation de technologies basées sur les matériaux utilisant une technologie du groupe IV, p. ex. technologie au silicium ou au carbure de silicium [SiC]
H10D 84/85 - Transistors IGFET complémentaires, p. ex. CMOS
24.
MICRO-ELECTROMECHANICAL SYSTEMS (MEMS) DEVICE WITH OUTGAS LAYER
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Hu, Fan
Tai, Wen-Chuan
Peng, Li-Chun
Chen, Hsiang-Fu
Shen, Ching-Kai
Liang, Hung-Wei
Tu, Jung-Kuo
Abrégé
The present disclosure relates to an integrated chip including a semiconductor device substrate and a plurality of semiconductor devices arranged along the semiconductor device substrate. A micro-electromechanical system (MEMS) layer overlies the semiconductor device substrate. The MEMS layer includes a first moveable mass and a second moveable mass. A capping layer overlies the MEMS layer. The capping layer has a first lower surface directly over the first moveable mass and a second lower surface directly over the second moveable mass. An outgas layer is on the first lower surface and directly between the first pair of sidewalls. A lower surface of the outgas layer delimits a first cavity in which the first moveable mass is arranged. The second lower surface of the capping layer delimits a second cavity in which the second moveable mass is arranged.
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Young, Bo-Feng
Wang, Sheng-Chen
Yeong, Sai-Hooi
Lin, Yu-Ming
Manfrini, Mauricio
Chia, Han-Jong
Abrégé
The present disclosure, in some embodiments, relates to a memory device. In some embodiments, the memory device has a substrate and a lower interconnect metal line disposed over the substrate. The memory device also has a selector channel disposed over the lower interconnect metal line and a selector gate electrode wrapping around a sidewall of the selector channel and separating from the selector channel by a selector gate dielectric. The memory device also has a memory cell disposed over and electrically connected to the selector channel and an upper interconnect metal line disposed over the memory cell. By placing the selector within the back-end interconnect structure, front-end space is saved, and more integration flexibility is provided.
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Tsai, Ya-Yi
Pak, Chi Yuen
Chen, Bo-Hong
Chen, Han-Wei
Lin, Yu-Hsien
Abrégé
A semiconductor structure according to the present disclosure includes a substrate, a first base fin and a second base fin arising from the substrate, an isolation structure disposed between the first base fin and the second base fin, first channel members disposed over the first base fin, second channel members disposed over the second base fin, a region isolation feature extending into the substrate, a first gate structure wrapping around each of the first channel members, second gate structure wrapping around each of the second channel members, a first gate cut feature extending through the first gate structure and into the isolation feature, and a second gate cut feature extending though the second gate structure and into the isolation feature. Each of the first gate cut feature and the second gate cut feature are spaced apart from the region isolation feature.
H10D 62/13 - Régions semi-conductrices connectées à des électrodes transportant le courant à redresser, amplifier ou commuter, p. ex. régions de source ou de drain
H10D 84/83 - Dispositifs intégrés formés dans ou sur des substrats semi-conducteurs qui comprennent uniquement des couches semi-conductrices, p. ex. sur des plaquettes de Si ou sur des plaquettes de GaAs-sur-Si caractérisés par l'intégration d'au moins un composant couvert par les groupes ou , p. ex. l'intégration de transistors IGFET de composants à effet de champ uniquement de transistors FET à grille isolée [IGFET] uniquement
H10D 84/85 - Transistors IGFET complémentaires, p. ex. CMOS
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Ko, Chung-Ting
Lin, Sung-En
Chui, Chi On
Abrégé
A method includes depositing a first material on a sidewall surface of a recess in a substrate, wherein the first material is a conductive material; after depositing the first material, depositing a second material on a bottom surface of the recess using a plasma-assisted deposition process; and after depositing the second material, removing the first material.
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Kuo, Hsuan-Ting
Lin, Hsiu-Jen
Hsieh, Ching-Hua
Abrégé
A package structure and a manufacturing method of a package structure are provided. The package structure includes a substrate, a first interposer, a second interposer, a first semiconductor die, a second semiconductor die and a flexible interconnect structure. The first interposer is bonded to the substrate. The second interposer is bonded to the substrate and spaced apart from the first interposer. The first semiconductor die is bonded to the first interposer. The second semiconductor die is bonded to the second interposer. The flexible interconnect structure is bonded to the first interposer and the second interposer.
H01L 23/498 - Connexions électriques sur des substrats isolants
H01L 21/48 - Fabrication ou traitement de parties, p. ex. de conteneurs, avant l'assemblage des dispositifs, en utilisant des procédés non couverts par l'un uniquement des groupes ou
H01L 21/56 - Encapsulations, p. ex. couches d’encapsulation, revêtements
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 23/31 - Encapsulations, p. ex. couches d’encapsulation, revêtements caractérisées par leur disposition
H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
H01L 25/18 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant de types prévus dans plusieurs différents groupes principaux de la même sous-classe , , , , ou
29.
SEMICONDUCTOR DEVICE STRUCTURE AND METHODS OF FORMING THE SAME
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
Wen, Ke-Gang
Wu, Yu-Bey
Lee, I-Chang
Chen, Hsin-Feng
Hsiao, Tsung-Chieh
Wang, Liang-We
Lai, Ying-Lin
Chiu, Chih-Pin
Lee, Li-Yu
Yeh, Cheng-Hao
Abrégé
A semiconductor device structure and methods of forming the same are described. The structure includes a through silicon via disposed through a dielectric material, an interconnection structure, and a substrate. The through silicon via has a top surface having a first diameter and a portion located in the substrate having a second diameter, and the first diameter is substantially greater than the second diameter. The structure further includes an alloy portion surrounding the through silicon via, a barrier layer surrounding the alloy portion, and a liner surrounding the barrier layer. The through silicon via, the alloy portion, the barrier layer, and the liner together have a funnel shaped cross-section.
H01L 23/48 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p. ex. fils de connexion ou bornes
H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
H01L 23/58 - Dispositions électriques structurelles non prévues ailleurs pour dispositifs semi-conducteurs
H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
30.
SEMICONDUCTOR DEVICE STRUCTURE AND METHODS OF FORMING THE SAME
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
Liao, Wei-Hao
Tien, Hsi-Wen
Lu, Chih Wei
Wu, Yung-Hsu
Tsai, Cherng-Shiaw
Su, Chia-Wei
Abrégé
Embodiments of the present disclosure relates to a method for forming a semiconductor device structure. The method includes forming one or more conductive features in a first interlayer dielectric (ILD), forming one or more openings through the ILD to expose a top surface of the one or more conductive features. The method also includes exposing the one or more openings to an ion beam directed at an angle with respect to a direction of a normal line to a top surface of the second ILD so that a shape of the or more openings is elongated along a first direction, and filling the one or more openings with a conductive material.
H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
H01L 21/3065 - Gravure par plasmaGravure au moyen d'ions réactifs
H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
More, Shahaji B.
Abrégé
A semiconductor structure includes a first multigate device, a second multigate device, and an isolation structure. The first multigate device has a first channel layer extending between first epitaxial source/drains along a first direction. The second multigate device has a second channel layer extending between second epitaxial source/drains along the first direction. The first epitaxial source/drains and second epitaxial source/drains have a first width and a second width, respectively, along a second direction that is different than the first direction. The isolation structure includes a dielectric fin over a substrate isolation feature. The dielectric fin is between the first epitaxial source/drains and the second epitaxial source/drains. The dielectric fin has a third width along the second direction. A distance between the first epitaxial source/drains and the second epitaxial source/drains along the second direction is greater than the third width, less than the second width, and less than the first width.
H10D 84/03 - Fabrication ou traitement caractérisés par l'utilisation de technologies basées sur les matériaux utilisant une technologie du groupe IV, p. ex. technologie au silicium ou au carbure de silicium [SiC]
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chen, Hsien-Wei
Chen, Jie
Chen, Ming-Fa
Yang, Ching-Jung
Abrégé
A method of manufacturing a semiconductor structure includes the following steps. A die is provided. The die includes an interconnect structure and an active pad electrically connected to the interconnect structure. A dielectric layer is formed over the die, wherein the dielectric layer is a single layer. An active bonding via is formed in the dielectric layer. The active pad has a first surface facing the interconnect structure and a second surface opposite to the first surface, the active bonding via has a third surface facing the interconnect structure and a fourth surface opposite to the third surface, and the second surface of the active pad is disposed between the third surface and the fourth surface of the active bonding via.
H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 25/00 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
33.
SEMICONDUCTOR DEVICE WITH INTEGRATED METAL-INSULATOR-METAL CAPACITORS
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chen, Wei-Zhong
Chen, Jeiming
Lee, Tze-Liang
Abrégé
A method of forming a semiconductor device includes: forming an interconnect structure over a substrate; forming an etch stop layer over the interconnect structure; and forming a first multi-layered structure over the etch stop layer, which includes: forming a first conductive layer over the etch stop layer; treating an upper layer of the first conductive layer with a plasma process; and forming a second conductive layer over the treated first conductive layer. The method further includes: patterning the first multi-layered structure to form a first electrode; forming a first dielectric layer over the first electrode; forming a second multi-layered structure over the first dielectric layer, the second multi-layered structure having the same layered structure as the first multi-layered structure; and patterning the second multi-layered structure to form a second electrode.
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Li, Ming-Shuan
Yeh, Chih-Chieh
Wang, Chih-Hung
Abrégé
A semiconductor structure and a manufacturing method thereof are provided. The semiconductor structure includes a well, a plurality dummy elements, at least one source/drain diffusion region, at least one metal-to-diffusion (MD) layer and at least one metal gate (MG) layer. The plurality dummy elements are formed in or on a border area of the well. The at least one source/drain diffusion region is formed in the well and located at outside of the border area of the well. The at least one metal-to-diffusion layer is disposed on the source/drain diffusion region and located at outside of the border area of the well. The at least one metal gate layer is disposed adjacent to the metal-to-diffusion layer and located at outside of the border area of the well. The plurality of dummy elements are floating.
H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Wang, Guan-Ren
Hu, Kuan-Kan
Liu, Chun-Yu
Yang, Ku-Feng
Liao, Szuya
Abrégé
A method includes performing a cleaning process on a first surface of a first wafer, and performing a surface activation process on the first surface. The surface activation process is selected from the group consisting of: a plasma surface activation process comprising generating a plasma from a process gas, wherein ions in the plasma are removed using a filter, and wherein a remaining uncharged part of the plasma is used to treat the first surface; a laser surface activation process using a laser beam; an acid surface activation process using an acid; and an alkali surface activation process using an alkali. After the surface activation process, a rinsing process is performed on the first surface. The first surface of the first wafer is bonded to a second surface of a second wafer.
H10D 84/83 - Dispositifs intégrés formés dans ou sur des substrats semi-conducteurs qui comprennent uniquement des couches semi-conductrices, p. ex. sur des plaquettes de Si ou sur des plaquettes de GaAs-sur-Si caractérisés par l'intégration d'au moins un composant couvert par les groupes ou , p. ex. l'intégration de transistors IGFET de composants à effet de champ uniquement de transistors FET à grille isolée [IGFET] uniquement
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chiang, Hung-Li
Lee, Tsung-En
Wang, Jer-Fu
Cheng, Chao-Ching
Radu, Luliana
Chuang, Cheng-Chi
Chang, Chih-Sheng
Tsai, Ching-Wei
Abrégé
Semiconductor structures and formation processes thereof are provided. A semiconductor structure of the present disclosure includes a semiconductor substrate, a plurality of transistors disposed on the semiconductor substrate and comprising a plurality of gate structures extending lengthwise along a first direction, a metallization layer disposed over the plurality of transistors, the metallization layer comprising a plurality of metal layers and a plurality of contact vias, a dielectric layer over the metallization layer, a plurality of dielectric fins extending parallel along the first direction and disposed over the dielectric layer, a semiconductor layer disposed conformally over the plurality of dielectric fins, a source contact and a drain contact disposed directly on the semiconductor layer, and a gate structure disposed over the semiconductor layer and between the source contact and the drain contact.
H10B 12/00 - Mémoires dynamiques à accès aléatoire [DRAM]
H10B 51/30 - Dispositifs de RAM ferro-électrique [FeRAM] comprenant des transistors ferro-électriques de mémoire caractérisés par la région noyau de mémoire
H10B 61/00 - Dispositifs de mémoire magnétique, p. ex. dispositifs RAM magnéto-résistifs [MRAM]
H10B 63/00 - Dispositifs de mémoire par changement de résistance, p. ex. dispositifs RAM résistifs [ReRAM]
H10D 84/08 - Fabrication ou traitement caractérisés par l'utilisation de technologies basées sur les matériaux utilisant des combinaisons de technologies, p. ex. utilisant à la fois des technologies du silicium et du carbure de silicium ou à la fois des technologies du silicium et du groupe III-V
37.
WAFER SINGULATION INCLUDING MULTIPLE LASER GROOVING
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Kuo, Hsuan-Ting
Wong, Cheng-Shiuan
Cheng, Chia-Shen
Lin, Hsiu-Jen
Abrégé
A method includes performing a first plurality of laser grooving processes on a scribe line of a wafer to form a first combined groove, and performing a second plurality of laser grooving processes on the scribe line of the wafer to form a second combined groove. A first sawing process is performed on the scribe line of the wafer. The first sawing process is performed in a part of the scribe line between the first combined groove and the second combined groove. A second sawing process is performed to saw through the wafer in the scribe line. The second sawing process separates a first device die and a second device die on opposing sides of the scribe line from each other.
H01L 21/268 - Bombardement par des radiations ondulatoires ou corpusculaires par des radiations d'énergie élevée les radiations étant électromagnétiques, p. ex. des rayons laser
H01L 21/78 - Fabrication ou traitement de dispositifs consistant en une pluralité de composants à l'état solide ou de circuits intégrés formés dans ou sur un substrat commun avec une division ultérieure du substrat en plusieurs dispositifs individuels
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Huang, Yu-Xuan
Huang, Chia-En
Tsai, Ching-Wei
Cheng, Kuan-Lun
Abrégé
A semiconductor structure includes a power rail, a first source/drain feature disposed over the power rail, a via connecting the power rail to the first source/drain feature; an isolation feature disposed over the first source/drain feature, and a second source/drain feature disposed over the isolation feature, where the first and the second source/drain features are of opposite conductivity types.
H10D 84/03 - Fabrication ou traitement caractérisés par l'utilisation de technologies basées sur les matériaux utilisant une technologie du groupe IV, p. ex. technologie au silicium ou au carbure de silicium [SiC]
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Lu, Hau-Yan
Liu, Wei-Kang
Tsui, Yingkit Felix
Abrégé
An edge coupler has a wide end, a narrow end, and a tapering thickness. The narrow end is coupled to a waveguide in a photonic integrated circuit (PIC). The wide end is coupled to an optical transmitter or receiver. The edge coupler thickens by tapering downward into the buried oxide layer of a BOX substrate. An upper surface of the edge coupler may be planar. A pedestal may be formed in the oxide layer so that a laser diode mounted on the pedestal will be vertically aligned to the edge coupler. Alternatively, the pedestal may be formed in a substrate under the oxide layer so that the core of an optical fiber mounted on the pedestal will be vertically aligned to the edge coupler. The pedestal may be in a cavity that facilitates horizontal alignment between the laser diode, optical fiber, or other such device and the edge coupler.
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Wang, Chien-Chang
Wang, Kuan-Min
Wang, Ching
Chang, Kuo-Chin
Yan, Kathy Wei
He, Jun
Abrégé
A package structure includes a high-power package attached to a substrate; a first low-power package attached to the substrate; a first heat dissipation device attached to the first low-power package; a liquid cooling system attached to the high-power package; and a thermoelectric system sandwiched between the high-power package and the liquid cooling system, wherein the thermoelectric system is electrically connected to the first heat dissipation device, wherein the thermoelectric system provides the first heat dissipation device with electrical power during operation of the high-power package.
H01L 23/473 - Dispositions pour le refroidissement, le chauffage, la ventilation ou la compensation de la température impliquant le transfert de chaleur par des fluides en circulation par une circulation de liquides
H01L 23/427 - Refroidissement par changement d'état, p. ex. caloducs
H01L 23/467 - Dispositions pour le refroidissement, le chauffage, la ventilation ou la compensation de la température impliquant le transfert de chaleur par des fluides en circulation par une circulation de gaz, p. ex. d'air
H10N 10/13 - Dispositifs thermoélectriques comportant une jonction de matériaux différents, c.-à-d. dispositifs présentant l'effet Seebeck ou l'effet Peltier fonctionnant exclusivement par les effets Peltier ou Seebeck caractérisés par les moyens d'échange de chaleur à la jonction
H10N 19/00 - Dispositifs intégrés ou ensembles de plusieurs dispositifs comprenant au moins un élément thermoélectrique ou thermomagnétique couvert par les groupes
41.
SEMICONDUCTOR STRUCTURE AND METHOD OF FORMING THEREOF
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
Chou, Chun Yi
Chen, Guan-Lin
Chiang, Kuo-Cheng
Wang, Chih-Hao
Abrégé
A method includes a number of operations. A crystalline isolation layer is formed over a substrate. A multilayer stack is epitaxially grown over the crystalline isolation layer, wherein the multilayer stack includes first semiconductor layers and second semiconductor layers alternating with the first semiconductor layers. A source/drain recess is etched in the multilayer stack to expose a first portion of the crystalline isolation layer. A source/drain epitaxial structure is formed on the first portion of the crystalline isolation layer exposed in the source/drain recess. The first semiconductor layer is replaced with a gate structure wrapping around the second semiconductor layer.
H01L 21/84 - Fabrication ou traitement de dispositifs consistant en une pluralité de composants à l'état solide ou de circuits intégrés formés dans ou sur un substrat commun avec une division ultérieure du substrat en plusieurs dispositifs individuels pour produire des dispositifs, p.ex. des circuits intégrés, consistant chacun en une pluralité de composants le substrat étant autre chose qu'un corps semi-conducteur, p.ex. étant un corps isolant
H01L 27/12 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant autre qu'un corps semi-conducteur, p.ex. un corps isolant
H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
H01L 29/66 - Types de dispositifs semi-conducteurs
H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chuang, Harry-Hak-Lay
Lin, Hsin Fu
Yeh, Tsung-Hao
Abrégé
An integrated circuit (IC) device comprises a high voltage semiconductor device (HVSD) on a frontside of a semiconductor body and further comprises an electrode on a backside of the semiconductor body opposite the frontside. The HVSD may, for example, be a transistor or some other suitable type of semiconductor device. The electrode has one or more gaps directly beneath the HVSD. The one or more gaps enhance the effectiveness of the electrode for improving the breakdown voltage of the HVSD.
H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
H01L 23/48 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p. ex. fils de connexion ou bornes
H10D 30/65 - Transistors FET DMOS latéraux [LDMOS]
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Lai, Chi-Hui
Chun, Shu-Rong
Pan, Kuo Lung
Kuo, Tin-Hao
Tsai, Hao-Yi
Liu, Chung-Shi
Yu, Chen-Hua
Abrégé
In an embodiment, a device includes: a package component including: a first integrated circuit die; an encapsulant at least partially surrounding the first integrated circuit die; a redistribution structure on the encapsulant, the redistribution structure physically and electrically coupling the first integrated circuit die; a first module socket attached to the redistribution structure; an interposer attached to the redistribution structure adjacent the first module socket, the outermost extent of the interposer extending beyond the outermost extent of the redistribution structure; and an external connector attached to the interposer.
H01L 23/498 - Connexions électriques sur des substrats isolants
H01L 21/48 - Fabrication ou traitement de parties, p. ex. de conteneurs, avant l'assemblage des dispositifs, en utilisant des procédés non couverts par l'un uniquement des groupes ou
H01L 21/56 - Encapsulations, p. ex. couches d’encapsulation, revêtements
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 23/31 - Encapsulations, p. ex. couches d’encapsulation, revêtements caractérisées par leur disposition
H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chen, Tzu-Yu
Shih, Sheng-Hung
Tu, Kuo-Chi
Abrégé
An integrated chip includes a memory cell within a BEOL metal interconnect. The memory cell may be an FeRAM memory cell. The memory cell is formed over a plurality of openings in a dielectric structure that includes an inter-level dielectric layer. The openings may be form an array or another two-dimensional pattern. The layers of the memory cell line the openings whereby each of a lower electrode layer, a data storage layer, and an upper electrode descend into the openings. The lower electrode layer may pass through an etch stop layer and contact a lower interconnect. There may be a plurality of top electrode vias. The top electrode vias may be offset from the opening. This memory cell structure provides a large area, which leads to low threshold voltages.
H10B 53/30 - Dispositifs RAM ferro-électrique [FeRAM] comprenant des condensateurs ferro-électriques de mémoire caractérisés par la région noyau de mémoire
45.
HYBRID-BONDING STACK INCLUDING A PROCESSOR DIE AND MULTI-CACHE-LEVEL MEMORY DIES AND METHODS OF FORMING THE SAME
Taiwan Semiconductor Manufacturing Company Limited (Taïwan, Province de Chine)
Inventeur(s)
Ong, Yi Ching
Huang, Kuo-Ching
Chen, Yu-Sheng
Shen, Kuei-Hung
Wang, Yu-Jen
Chuang, Harry-Hak-Lay
Abrégé
A device structure may be formed by bonding a processor die with at least one memory die using metal-to-metal bonding. The processor die comprises processing units for performing logical operations. The at least one memory die comprises at least two types of memory arrays selected from a static random access memory array, a gain cell random access memory array, and magnetoresistive random access memory array, and a resistive random access memory array. A bonded assembly of the processor die and the at least one memory die is formed. The bonded assembly can be bonded to an interposer using a first array of solder material portions that is bonded to on-die bump structures of the processor die and to a first subset of first bump structures of the interposer.
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 25/18 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant de types prévus dans plusieurs différents groupes principaux de la même sous-classe , , , , ou
46.
MEMORY DEVICE WITH IMPROVED ANTI-FUSE READ CURRENT
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
Chang, Meng-Sheng
Huang, Chia-En
Yang, Yao-Jen
Wang, Yih
Abrégé
A method of making a memory device includes forming an array of memory cells distributed along a first direction and a second direction perpendicular to the first direction, forming a first programming gate-strip extending in the second direction, and forming a plurality of first programming conducting lines extending in the first direction. Each of the memory cells includes an anti-fuse structure having a dielectric layer overlaying a semiconductor region in an active zone, and a transistor having a channel region in the active zone. The active zone extends in the first direction. Each of the plurality of first programming conducting lines is electrically connected connected to the first programming gate-strip through a corresponding resistor of a first plurality of resistors. The first programming gate-strip electrically connects to the anti-fuse structure of each of the memory cells along a column of the array of memory cells.
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Ong, Yi Ching
Huang, Kuo-Ching
Chuang, Harry-Hak-Lay
Abrégé
An electrocaloric heat dissipation device is formed by inserting metal layer-pyroelectric layer-metal layer (MPM) structures between the metallization layers in a metal interconnect. Electric fields are alternately applied and relaxed to induce temperatures of the pyroelectric layers to cycle and drive heat transfer. The heat dissipation device may be placed adjacent a hot spot in a power management integrated circuit (PMIC) and is particularly useful when the PMIC is in a 3D package. In some embodiments, the MPM structures are inserted around circuit wiring. Interconnects for the heat dissipation device may replace dummy metal wiring.
H01L 23/373 - Refroidissement facilité par l'emploi de matériaux particuliers pour le dispositif
H01L 21/48 - Fabrication ou traitement de parties, p. ex. de conteneurs, avant l'assemblage des dispositifs, en utilisant des procédés non couverts par l'un uniquement des groupes ou
H01L 23/498 - Connexions électriques sur des substrats isolants
48.
STACKED MULTI-GATE DEVICE WITH FRONT-AND-BACK INTERCONNECTION AND METHODS FOR FORMING THE SAME
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
You, Wei-Xiang
Huang, Jui-Chien
Lin, Chun-Yen
Liao, Szuya
Abrégé
A method includes forming a first CFET and a second CFET. The first CFET includes a first lower transistor, and a first upper transistor overlapping the first lower transistor. The second CFET includes a second lower transistor, and a second upper transistor overlapping the second lower transistor. The method further includes performing a first etching process to form a first opening, wherein the first etching process includes etching a first gate stack between the first upper transistor and the second upper transistor, and etching a second gate stack between the first lower transistor and the second lower transistor. The first opening is filled with a dielectric material to form a dielectric region. The method further includes performing a second etching process to etch a middle portion of the dielectric region and to form a second opening, and filling the second opening with a conductive material to form a through-via.
H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
H01L 23/528 - Configuration de la structure d'interconnexion
H10D 30/43 - Transistors FET ayant des canaux à gaz de porteurs de charge de dimension nulle [0D], à une dimension [1D] ou à deux dimensions [2D] ayant des canaux à gaz de porteurs de charge à une dimension, p. ex. transistors FET à fil quantique ou transistors ayant des canaux à confinement quantique à une dimension
H10D 84/03 - Fabrication ou traitement caractérisés par l'utilisation de technologies basées sur les matériaux utilisant une technologie du groupe IV, p. ex. technologie au silicium ou au carbure de silicium [SiC]
H10D 84/85 - Transistors IGFET complémentaires, p. ex. CMOS
49.
CFET DEVICE OPTIMIZATION BY MULTIPLE CELL HEIGHT PLACEMENT
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Lin, Chun-Yen
Tzeng, Wei-Cheng
Lin, Jun-Yu
Lin, Wei-Cheng
Tzeng, Jiann-Tyng
Abrégé
A structure includes a standard cell, which includes a first single-height part and a second single-height part. The first single-height part comprises a first VDD line, a first VSS line, and a first input metal line. The second single-height part is abutting the first single-height part to form an interface. The second single-height part comprises a second VDD line, a second VSS line, and an output metal line. In a top view of the structure, the first input metal line and the output metal line have lengthwise directions parallel to the interface.
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chen, Wei-Yu
Chen, Chung-Hui
Hou, Hao-Cheng
Cheng, Jung Wei
Wang, Tsung-Ding
Lee, Chien-Hsun
Hou, Shang-Yun
Abrégé
A method of forming an inductor including forming a first redistribution structure on a substrate, forming a first conductive via over and electrically connected to the first redistribution structure, depositing a first magnetic material over a top surface and sidewalls of the first conductive via, coupling a first die and a second die to the first redistribution structure, encapsulating the first die, the second die, and the first conductive via in an encapsulant, and planarizing the encapsulant and the first magnetic material to expose the top surface of the first conductive via while a remaining portion of the first magnetic material remains on sidewalls of the first conductive via, where the first conductive via and the remaining portion of the first magnetic material provide an inductor.
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
51.
SEMICONDUCTOR DEVICES HAVING A MULTILAYER SOURCE/DRAIN REGION AND METHODS OF MANUFACTURE
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Liu, Wei-Min
Su, Li-Li
Yeo, Yee-Chia
Abrégé
Semiconductor devices and methods of fabricating the semiconductor devices are described herein. The method includes steps for patterning fins in a multilayer stack and forming an opening in a fin as an initial step in forming a source/drain region. The opening is formed into a parasitic channel region of the fin. Once the opening has been formed, a first semiconductor material is epitaxially grown at the bottom of the opening to a level over the top of the parasitic channel region. A second semiconductor material is epitaxially grown from the top of the first semiconductor material to fill and/or overfill the opening. The second semiconductor material is differently doped from the first semiconductor material. A stack of nanostructures is formed by removing sacrificial layers of the multilayer stack, the second semiconductor material being electrically coupled to the nanostructures.
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Ho, Yen-Chung
Chiang, Katherine H.
Wei, Hui-Hsien
Yang, Tsu Ching
Lai, Hsin-Yu
Chiang, Kuo-Chang
Wu, Yong-Jie
Abrégé
Various embodiments of the present disclosure are directed to a thin-film transistor (TFT) with a hydrogen absorption layer and a method for forming the same. The TFT comprises a semiconductor channel, a gate electrode, and a gate dielectric layer that are stacked with the gate dielectric layer separating the gate electrode from the semiconductor channel. A first source/drain electrode and a second source/drain electrode are respectively on different portions of the semiconductor channel. Further, the hydrogen absorption layer is adjacent to the gate electrode, the first source/drain electrode, the second source/drain electrode, or a combination thereof. The hydrogen absorption layer traps hydrogen and other errant particles from interacting with semiconductor material of the TFT to prevent performance and reliability degradation.
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Huang, Chien Ta
Tsai, Chun-Yang
Ong, Yi Ching
Huang, Kuo-Ching
Chuang, Harry-Hak-Lay
Abrégé
A first die includes a first substrate and a first interconnect structure. A second die is bonded to the first die and includes a second substrate and a second interconnect structure, such that the first and second interconnect structures are arranged between the first and second substrates. A redistribution layer (RDL) stack is arranged on an outer side of the first die opposite the first interconnect structure. A heat path includes a through substrate via (TSV) extending from a conductive layer in the first interconnect structure, through the first substrate, and into the RDL stack. An RDL dielectric material is included in the RDL stack and separates the heat path from an ambient environment. A thermal conductivity of the RDL dielectric is over twenty times a thermal conductivity of an interconnect dielectric material of the first interconnect structure or of the second interconnect structure.
H01L 23/367 - Refroidissement facilité par la forme du dispositif
H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
H01L 23/373 - Refroidissement facilité par l'emploi de matériaux particuliers pour le dispositif
H01L 23/528 - Configuration de la structure d'interconnexion
H01L 25/04 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés
H01L 25/11 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs ayant des conteneurs séparés les dispositifs étant d'un type prévu dans la sous-classe
54.
PHOTONIC SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURE
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Tsai, Tsung-Fu
Yu, Chen-Hua
Lu, Szu-Wei
Wang, Chao-Jen
Abrégé
A method includes connecting a photonic package to a substrate, wherein the photonic package includes a waveguide and an edge coupler that is optically coupled to the waveguide; connecting a semiconductor device to the substrate adjacent the photonic package; depositing a first protection material on a first sidewall of the photonic package that is adjacent the edge coupler; encapsulating the photonic package and the semiconductor device with an encapsulant; performing a first sawing process through the encapsulant and the substrate, wherein the first sawing process exposes the first protection material; and removing the first protection material to expose the first sidewall of the photonic package.
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Liu, Shih-Wei
Jiang, Singda
Wu, Tsunyen
Yan, Kathy Wei
Abrégé
A semiconductor package and the method for forming the same are provided. The semiconductor package includes a substrate having an upper surface and a lower surface, first integrated circuit devices mounted on the upper surface, and second integrated circuit devices mounted on the lower surface. A first heat spreader in the form of a vapor-chamber (VC) is located over the first integrated circuit devices. A second heat spreader in the form of a vapor-chamber is located over the second integrated circuit devices. A heat-transfer member thermally couples the first heat spreader and the second heat spreader on both sides of the substrate.
H01L 23/367 - Refroidissement facilité par la forme du dispositif
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 23/31 - Encapsulations, p. ex. couches d’encapsulation, revêtements caractérisées par leur disposition
H01L 23/373 - Refroidissement facilité par l'emploi de matériaux particuliers pour le dispositif
H01L 23/473 - Dispositions pour le refroidissement, le chauffage, la ventilation ou la compensation de la température impliquant le transfert de chaleur par des fluides en circulation par une circulation de liquides
H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
56.
LOW DROPOUT REGULATOR WITH ALTERNATIVE LOOP CONTROL
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Hung, Che-Min
Abrégé
A device including an amplifier having a positive input terminal, a negative input terminal, and an output terminal, the positive input terminal configured to receive a reference voltage source; a select circuit having a first input terminal, a second input terminal, and a select circuit output terminal that is electrically coupled to the negative input terminal; and a loop control circuit having an input electrically coupled to the output terminal of the amplifier, a first control output electrically coupled to the first input terminal, and a second control output electrically coupled to the second input terminal. The select circuit is configured to provide a first signal from the first control output to the negative input terminal in standby mode and a second signal from the second control output to the negative input terminal in nap mode.
H03F 1/34 - Circuits à contre-réaction avec ou sans réaction
G05F 1/565 - Régulation de la tension ou de l'intensité là où la variable effectivement régulée par le dispositif de réglage final est du type continu utilisant des dispositifs à semi-conducteurs en série avec la charge comme dispositifs de réglage final sensible à une condition du système ou de sa charge en plus des moyens sensibles aux écarts de la sortie du système, p. ex. courant, tension, facteur de puissance
G05F 1/575 - Régulation de la tension ou de l'intensité là où la variable effectivement régulée par le dispositif de réglage final est du type continu utilisant des dispositifs à semi-conducteurs en série avec la charge comme dispositifs de réglage final caractérisé par le circuit de rétroaction
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chang, Yi-Hsien
Huang, Fu-Chun
Yeh, Po-Chen
Lin, Ching-Hui
Chu, Chao-Hung
Cheng, Chun-Ren
Huang, Shih-Fen
Abrégé
Various embodiments of the present disclosure are directed to a normally-open piezoelectric microelectromechanical systems (MEMS) device. A cantilever has a first end overlying and bonded to a substrate and further has a second end, opposite the first end, overlying an actuator cavity. A piezoelectric actuator is on the cantilever. A valve vane is bonded to the second end of the cantilever and further overlies a valve cavity laterally adjacent to the actuator cavity. The cantilever curves downward from the first end to the second end, such that the valve vane is inclined and the valve cavity is open. Actuation of the piezoelectric actuator curves the cantilever upward to close the valve cavity.
H10N 30/20 - Dispositifs piézo-électriques ou électrostrictifs à entrée électrique et sortie mécanique, p. ex. fonctionnant comme actionneurs ou comme vibrateurs
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Liao, Wen-Shiang
Abrégé
A semiconductor structure includes an antenna pad, a ground plane and at least one first conductive pattern. The ground plane is disposed over the antenna pad. The at least one first conductive pattern is disposed between the antenna pad and the ground plane, wherein the antenna pad, the at least one first conductive pattern and the ground plane are overlapped.
H01Q 1/22 - SupportsMoyens de montage par association structurale avec d'autres équipements ou objets
H01L 21/48 - Fabrication ou traitement de parties, p. ex. de conteneurs, avant l'assemblage des dispositifs, en utilisant des procédés non couverts par l'un uniquement des groupes ou
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 23/498 - Connexions électriques sur des substrats isolants
H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
H01Q 1/48 - Moyens de mise à la terreÉcrans de terreContrepoids
59.
Interconnect Structure and Method of Forming the Same
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Wang, Wei-Ren
Wang, Jen Hung
Lee, Tze-Liang
Abrégé
A semiconductor device includes a first conductive feature, a first dielectric layer over the first conductive feature, a second conductive feature extending through the first dielectric layer, an air gap between the first dielectric layer and the second conductive feature, and an etch stop layer over the second conductive feature and the first dielectric layer. The etch stop layer covers the air gap, and the air gap extends above a bottommost surface of the etch stop layer.
H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux
60.
ISOLATION STRUCTURES IN TRANSISTOR DEVICES AND METHODS OF FORMING
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chou, Yu-Wei
Chen, Ching-Hung
Huang, Yen-Chun
Wu, Zhen-Cheng
Lee, Tze-Liang
Abrégé
A device, includes a first semiconductor fin and a second semiconductor fin; an isolation structure between the first semiconductor fin and the second semiconductor fin, the isolation structure comprising: an inner shallow trench isolation (STI) region; a first liner layer along sidewalls and a bottom surface of the inner STI region; and a STI hard mask on a top surface of the inner STI region. The STI hard mask and the first liner layer each comprise a higher concentration of nitrogen than the inner STI region.
H10D 30/43 - Transistors FET ayant des canaux à gaz de porteurs de charge de dimension nulle [0D], à une dimension [1D] ou à deux dimensions [2D] ayant des canaux à gaz de porteurs de charge à une dimension, p. ex. transistors FET à fil quantique ou transistors ayant des canaux à confinement quantique à une dimension
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chen, Sheng-Hsiung
Kao, Jerry Chang Jui
Yang, Kuo-Nan
Liu, Jack
Abrégé
An integrated circuit includes a device, a first interconnect structure disposed above the device and a second interconnect structure positioned below the device. The first interconnect structure includes multiple frontside metal layers. The second interconnect structure includes multiple backside metal layers, where each backside metal layer includes metal conductors routed according to diagonal routing. In some embodiments, a backside interconnect structure can include another backside metal layer that includes metal conductors routed according to mixed-Manhattan-diagonal routing. A variety of techniques can be used to route signals between metal conductors in the backside interconnect structure and cells on one or more frontside metal layers.
H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
62.
ISOLATION REGIONS FOR ISOLATING TRANSISTORS AND THE METHODS FORMING THE SAME
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Kuo, Tai-Jung
Shih, Po-Cheng
Hsieh, Wan Chen
Wu, Zhen-Cheng
Lin, Chia-Hui
Lee, Tze-Liang
Abrégé
A method includes etching a gate stack in a wafer to form a trench, depositing a silicon nitride liner extending into the trench, and depositing a silicon oxide layer. The process of depositing the silicon oxide layer includes performing a treatment process on the wafer using a process gas including nitrogen and hydrogen, and performing a soaking process on the wafer using a silicon precursor.
H10D 84/03 - Fabrication ou traitement caractérisés par l'utilisation de technologies basées sur les matériaux utilisant une technologie du groupe IV, p. ex. technologie au silicium ou au carbure de silicium [SiC]
H10D 84/83 - Dispositifs intégrés formés dans ou sur des substrats semi-conducteurs qui comprennent uniquement des couches semi-conductrices, p. ex. sur des plaquettes de Si ou sur des plaquettes de GaAs-sur-Si caractérisés par l'intégration d'au moins un composant couvert par les groupes ou , p. ex. l'intégration de transistors IGFET de composants à effet de champ uniquement de transistors FET à grille isolée [IGFET] uniquement
63.
CFET DEVICE OPTIMIZATION BY MULTIPLE CELL HEIGHT PLACEMENT
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Lin, Chun-Yen
Tzeng, Wei-Cheng
Lin, Jun-Yu
Lin, Wei-Cheng
Tzeng, Jiann-Tyng
Abrégé
A structure includes a standard cell, which includes a first single-height part and a second single-height part. The first single-height part comprises a first VDD line, a first VSS line, and a first input metal line. The second single-height part is abutting the first single-height part to form an interface. The second single-height part comprises a second VDD line, a second VSS line, and an output metal line. In a top view of the structure, the first input metal line and the output metal line have lengthwise directions parallel to the interface.
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Shih, Che Chi
Yu, Chia-Hao
Lin, Zhi-Chang
Yang, Ku-Feng
Chiu, Tsung-Kai
Liao, Szuya
Abrégé
A method includes forming a multi-layer stack including a plurality of semiconductor nanostructures. The multi-layer stack includes a semiconductor nanostructure, and a sacrificial semiconductor layer over the semiconductor nanostructure. The method further includes depositing a semiconductor layer over and contacting the semiconductor nanostructure, removing the sacrificial semiconductor layer, and forming a replacement gate stack encircling a combined region of the semiconductor nanostructure and the semiconductor layer.
H10D 30/43 - Transistors FET ayant des canaux à gaz de porteurs de charge de dimension nulle [0D], à une dimension [1D] ou à deux dimensions [2D] ayant des canaux à gaz de porteurs de charge à une dimension, p. ex. transistors FET à fil quantique ou transistors ayant des canaux à confinement quantique à une dimension
H10D 62/10 - Formes, dimensions relatives ou dispositions des régions des corps semi-conducteursFormes des corps semi-conducteurs
H10D 84/03 - Fabrication ou traitement caractérisés par l'utilisation de technologies basées sur les matériaux utilisant une technologie du groupe IV, p. ex. technologie au silicium ou au carbure de silicium [SiC]
H10D 84/83 - Dispositifs intégrés formés dans ou sur des substrats semi-conducteurs qui comprennent uniquement des couches semi-conductrices, p. ex. sur des plaquettes de Si ou sur des plaquettes de GaAs-sur-Si caractérisés par l'intégration d'au moins un composant couvert par les groupes ou , p. ex. l'intégration de transistors IGFET de composants à effet de champ uniquement de transistors FET à grille isolée [IGFET] uniquement
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chen, Chun-Kai
Chen, Jeiming
Lee, Tze-Liang
Abrégé
A method includes forming a metal-containing hard mask layer over a dielectric layer, wherein the metal-containing hard mask layer has a Young's modulus greater than about 400 MPa and a tensile stress greater than about 600 MPa, patterning the metal-containing hard mask layer to form an opening in the metal-containing hard mask layer, and etching the dielectric layer using the metal-containing hard mask layer as an etching mask. The opening extends into the dielectric layer. The opening is filled with a conductive material to form a conductive feature. The metal-containing hard mask layer is then removed.
H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chang, Jen-Yuan
Abrégé
A semiconductor structure includes a first device structure, an oxide based layer, and a first auxiliary bond pad. The first device structure includes a first bonding layer. The oxide based layer is bonded to the first bonding layer of the first device structure. The first auxiliary bond pad is at an interface between the oxide based layer and the first bonding layer of the first device structure.
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 25/00 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Tsou, Chia-Han
Chen, Ming-Fa
Abrégé
Optical devices and methods of manufacture are presented in which a protective layer is formed over a support substrate, a first semiconductor die, and an optical interposer. The optical interposer is encapsulated with an encapsulant with a second semiconductor die. The encapsulant is planarized with the second semiconductor die, and the encapsulant is removed to expose the protective layer after the planarizing the encapsulant.
G02B 6/13 - Circuits optiques intégrés caractérisés par le procédé de fabrication
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 25/16 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant de types couverts par plusieurs des sous-classes , , , , ou , p. ex. circuit hybrides
H01L 31/0232 - Dispositifs à semi-conducteurs sensibles aux rayons infrarouges, à la lumière, au rayonnement électromagnétique d'ondes plus courtes, ou au rayonnement corpusculaire, et spécialement adaptés, soit comme convertisseurs de l'énergie dudit rayonnement e; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives; Leurs détails - Détails Éléments ou dispositions optiques associés au dispositif
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
Huang, Yi Yun
Chen, Po-Yu
Lin, Feng
Yan, Zhang-Ying
Liu, Xingyu
Li, Pingyu
Liu, Yun Hao
Xie, Siliang
Meng, Qingchao
Abrégé
An integrated circuit (IC) includes a voltage source configured to generate a first voltage having a temperature-dependent voltage level, and a voltage-controlled oscillator (VCO) including a feedback path and a first VCO cell configured to receive the first voltage. The first VCO cell includes a series of stages, a first stage of the series of stages is configured to output a first signal internal to the first VCO cell based on the voltage level of the first voltage and an oscillation signal propagated on the feedback path, and a last stage of the series of stages is configured to output a second signal external to the first VCO cell based on the first signal and the voltage level of the first voltage.
H01L 27/02 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface
H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
H03B 5/04 - Modifications du générateur pour compenser des variations dans les grandeurs physiques, p. ex. alimentation, charge, température
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Peng, Chun-Yen
Lu, Hau-Yan
Liu, Wei-Kang
Tsui, Yingkit Felix
Abrégé
A photonic integrated circuit (PIC) includes a stress structure that produces a stress field that enhances an optical device. The enhancement may enlarge an optical mode of the optical device, control an optical mode of the optical device, induce a transition between TM mode preferred and TE mode preferred so that the optical device is made operative as a mode converter, increase a coupling efficiency of the optical device, alter an absorption spectrum of the optical device, or counteract stress noise so as to prevent the stress noise from degrading the optical device. The stress structure may be composed of islands of material having a CTE mismatch or like contrast with a surrounding material. The islands may be periodically spaced along a length of the device and may be symmetrically disposed on opposite sides of the device.
G02B 6/122 - Éléments optiques de base, p. ex. voies de guidage de la lumière
G02B 6/12 - Guides de lumièreDétails de structure de dispositions comprenant des guides de lumière et d'autres éléments optiques, p. ex. des moyens de couplage du type guide d'ondes optiques du genre à circuit intégré
G02B 6/134 - Circuits optiques intégrés caractérisés par le procédé de fabrication par substitution par des atomes de dopage
G02B 6/136 - Circuits optiques intégrés caractérisés par le procédé de fabrication par gravure
70.
METHOD OF REDUCING DESIGN RULE VIOLATIONS DUE TO IR DROPS
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
TSMC NANJING COMPANY, LIMITED (Chine)
Inventeur(s)
Zhou, Fa
Liu, Jinxin
Chu, Chieh-Fu
Su, Yen-Feng
Liao, Chia-Chun
Wu, Meng-Hsuan
Liu, Dei-Pei
Abrégé
A method includes identifying a cell in the layout diagram as a violated cell that fails to pass one or more design rules related to IR drops, and classifying a root cause of the violated cell with a root cause class. The method also includes determining a searching area for searching safe region candidates, and finding a selected cell for moving based upon the root cause class of the root cause. The method also includes dividing the searching area into multiple analysis regions; finding a safe region for moving the selected cell based on searching at least one of the multiple analysis regions in the searching area, and moving the selected cell to the safe region in response to a condition that the safe region is found within the searching area.
G06F 30/398 - Vérification ou optimisation de la conception, p. ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]
G06F 119/06 - Analyse de puissance ou optimisation de puissance
71.
HEAT DISSIPATING STRUCTURE AND METHODS OF FORMING THE SAME
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Hsiao, Tsung-Chieh
Wen, Ke-Gang
Chiu, Chih-Pin
Chen, Hsin-Feng
Wu, Yu-Bey
Wang, Liang-Wei
Chen, Dian-Hau
Abrégé
A method includes forming a device die including forming integrated circuits on a semiconductor substrate; and forming a thermally conductive pillar extending into the semiconductor substrate. A cooling medium is attached over and contacting the semiconductor substrate to form a package, wherein the cooling medium is thermally coupled to the thermally conductive pillar.
H01L 23/367 - Refroidissement facilité par la forme du dispositif
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
72.
STACKED CMOS IMAGE SENSOR COMPRISING A PIXEL SENSOR FOR HIGH CONVERSION GAIN AND METHOD FOR FORMING THE SAME
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Yu, Chih-Kuan
Hung, Feng-Chi
Hsu, Wen-I
You, Bing Cheng
Liu, Jen-Cheng
Yaung, Dun-Nian
Abrégé
Various embodiments of the present disclosure are directed to a stacked complementary metal-oxide semiconductor (CMOS) image sensor. A first integrated circuit (IC) chip and a second IC chip are vertically stacked. A pixel sensor spans the first and second IC chips. The pixel sensor comprises a first transfer transistor and a photodetector that are at the first IC chip, and further comprises a source-follower transistor, a transistor capacitor, and a second transfer transistor that are at the second IC chip. The transistor capacitor and the second transfer transistor are electrically coupled in series from a source/drain region of the first transfer transistor to a gate electrode of the source-follower transistor.
H04N 25/79 - Agencements de circuits répartis entre des substrats, des puces ou des cartes de circuits différents ou multiples, p. ex. des capteurs d'images empilés
H10F 39/00 - Dispositifs intégrés, ou ensembles de plusieurs dispositifs, comprenant au moins un élément couvert par le groupe , p. ex. détecteurs de rayonnement comportant une matrice de photodiodes
H10F 39/18 - Capteurs d’images à semi-conducteurs d’oxyde de métal complémentaire [CMOS]Capteurs d’images à matrice de photodiodes
73.
DIELECTRIC BLOCKING LAYER AND METHOD FORMING THE SAME
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Wu, Chih-Wei
Shih, Ying-Ching
Chiou, Wen-Chih
Abrégé
A method includes forming a first package component, which comprises forming a first dielectric layer having a first top surface, and forming a first conductive feature. The first conductive feature includes a via embedded in the first dielectric layer, and a metal bump having a second top surface higher than the first top surface of the first dielectric layer. The method further includes dispensing a photo-sensitive layer, with the photo-sensitive layer covering the metal bump, and performing a photolithography process to form a recess in the photo-sensitive layer. The metal bump is exposed to the recess, and the photo-sensitive layer has a third top surface higher than the metal bump. A second package component is bonded to the first package component, and a solder region extends into the recess to bond the metal bump to a second conductive feature in the second package component.
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Yang, Ting-Li
Tsai, Po-Hao
Hsiao, Ching-Wen
Shue, Hong-Seng
Cheng, Ming-Da
Abrégé
A method of forming a semiconductor device includes: forming an interconnect structure over a substrate; forming a first passivation layer over the interconnect structure; forming a first conductive feature over the first passivation layer and electrically coupled to the interconnect structure; conformally forming a second passivation layer over the first conductive feature and the first passivation layer; forming a dielectric layer over the second passivation layer; and forming a first bump via and a first conductive bump over and electrically coupled to the first conductive feature, where the first bump via is between the first conductive bump and the first conductive feature, where the first bump via extends into the dielectric layer, through the second passivation layer, and contacts the first conductive feature, where the first conductive bump is over the dielectric layer and electrically coupled to the first bump via.
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
H01L 23/528 - Configuration de la structure d'interconnexion
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Liu, Chun-Yu
Jhang, Jin-Hao
Yang, Ku-Feng
Liao, Szuya
Abrégé
A method includes forming a device layer on a first surface of a first substrate, forming a first interconnect structure over the device layer, depositing a bonding layer over the first interconnect structure, forming a diamond layer over the bonding layer, performing a laser treatment on a top portion of the diamond layer by applying laser energy to the top portion of the diamond layer using a laser beam, and performing a thinning process on the diamond layer to remove the top portion of the diamond layer.
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
H01L 21/268 - Bombardement par des radiations ondulatoires ou corpusculaires par des radiations d'énergie élevée les radiations étant électromagnétiques, p. ex. des rayons laser
H01L 21/48 - Fabrication ou traitement de parties, p. ex. de conteneurs, avant l'assemblage des dispositifs, en utilisant des procédés non couverts par l'un uniquement des groupes ou
76.
SEMICONDUCTOR BONDING STRUCTURE AND METHOD OF FORMING THE SAME
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chang, Jen-Yuan
Abrégé
A semiconductor structure and a method of manufacturing a semiconductor structure are provided. First semiconductor dies are formed from a first substrate, each of the first semiconductor dies including an interconnect structure having a first dielectric material. A first thinning operation is performed on each of the first semiconductor dies. Second semiconductor dies are formed from a second substrate. The first semiconductor dies are bonded to a third substrate. A first gap between the first semiconductor dies is filled with a second dielectric material. The second semiconductor dies are bonded to the first semiconductor dies through a second bonding film, each of the first semiconductor dies electrically connected to each of the corresponding second semiconductor dies. A second gap between the second semiconductor dies is filled with a third dielectric material, at least one of the second and third dielectric materials different from the first dielectric material.
H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
H01L 21/56 - Encapsulations, p. ex. couches d’encapsulation, revêtements
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 23/31 - Encapsulations, p. ex. couches d’encapsulation, revêtements caractérisées par leur disposition
H01L 23/48 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p. ex. fils de connexion ou bornes
H01L 25/00 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Lee, Kai-Hsuan
Yeong, Sai-Hooi
Chui, Chi On
Abrégé
A method of forming a semiconductor device includes: forming a gate structure over a fin that protrudes above a substrate, the gate structure being surrounded by a first interlayer dielectric (ILD) layer; forming a trench in the first ILD layer adjacent to the fin; filling the trench with a first dummy material; forming a second ILD layer over the first ILD layer and the first dummy material; forming an opening in the first ILD layer and the second ILD layer, the opening exposing a sidewall of the first dummy material; lining sidewalls of the opening with a second dummy material; after the lining, forming a conductive material in the opening; after forming the conductive material, removing the first and the second dummy materials from the trench and the opening, respectively; and after the removing, sealing the opening and the trench by forming a dielectric layer over the second ILD layer.
H10D 84/03 - Fabrication ou traitement caractérisés par l'utilisation de technologies basées sur les matériaux utilisant une technologie du groupe IV, p. ex. technologie au silicium ou au carbure de silicium [SiC]
78.
INTEGRATED CIRCUIT DEVICE LAYOUT, SYSTEM AND METHOD
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
Chen, Chung-Hui
Abrégé
Based on whether terminal ends of a resistor of an IC device are on a first or a second side, a resistor cell is selected from a cell library. Based on a resistance of the resistor, a number of instances of the selected resistor cell and/or one or more connections between the instances of the selected resistor cell is determined. Based on the determined number of the instances and/or one or more connections therebetween, a place-and-route operation is performed to obtain the layout for the IC device. The resistor cell includes a first resistor structure which has a first end in a first metal layer on the first side, a second end in a second metal layer on the second side, and a first active region between the first metal layer and the second metal layer and electrically coupled to the first end and the second end.
H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants
H01L 23/50 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p. ex. fils de connexion ou bornes pour des dispositifs à circuit intégré
79.
3D IC COMPRISING SEMICONDUCTOR SUBSTRATES WITH DIFFERENT BANDGAPS
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chang, Yao-Chung
Liu, Shih-Chien
Yu, Chia-Jui
Tsai, Chun-Lin
Abrégé
Various embodiments of the present disclosure are directed towards a three-dimensional (3D) IC comprising semiconductor substrates with different bandgaps. The 3D IC chip comprises a first IC chip and a second IC chip overlying and bonded to the first IC chip. The first IC chip comprises a first semiconductor substrate with a first bandgap, and further comprises and a first device on and partially formed by the first semiconductor substrate. The second IC chip comprises a second semiconductor substrate with a second bandgap different than the first bandgap, and further comprises a second device on the second semiconductor substrate.
H01L 25/00 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chung, Ming-Tsu
Lin, Yung-Chi
Tsai, Yan-Zuo
Hsueh, Yang-Chih
Yeh, Ming-Shih
Abrégé
A method includes bonding a device die onto a package component. The device die includes a semiconductor substrate, and a through-via extending into the semiconductor substrate. The method further includes depositing a dielectric liner lining sidewalls of the device die, depositing a dielectric layer on the dielectric liner, and planarizing the dielectric layer and the device die. Remaining portions of the dielectric liner and the dielectric layer form a gap-filling region, and a top end of the through-via is revealed. An implantation process is performed to introduce a stress modulation dopant into at least one of the dielectric liner and the dielectric layer. A redistribution line is formed over and electrically connecting to the through-via.
H01L 21/56 - Encapsulations, p. ex. couches d’encapsulation, revêtements
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 23/31 - Encapsulations, p. ex. couches d’encapsulation, revêtements caractérisées par leur disposition
H01L 23/48 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p. ex. fils de connexion ou bornes
H01L 23/498 - Connexions électriques sur des substrats isolants
H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants
H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
81.
SEMICONDUCTOR DEVICE HAVING EPITAXY SOURCE/DRAIN REGIONS
TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. (Taïwan, Province de Chine)
Inventeur(s)
Lee, Yi-Jing
Li, Kun-Mu
Yu, Ming-Hua
Kwok, Tsz-Mei
Abrégé
An IC structure includes a first well region of a first conductivity type formed in a substrate, a second well region of a second conductivity type formed in the substrate, a first source/drain feature over the first well region, a second source/drain feature over the second well region. The second conductivity type is different than the first conductivity type. The IC structure further includes first sidewall spacers respectively on opposite sidewalls of the first source/drain feature, and second sidewall spacers respectively on opposite sidewalls of the second source/drain feature. A height difference between the second sidewall spacers is greater than a height difference between the first sidewall spacers.
H10D 84/83 - Dispositifs intégrés formés dans ou sur des substrats semi-conducteurs qui comprennent uniquement des couches semi-conductrices, p. ex. sur des plaquettes de Si ou sur des plaquettes de GaAs-sur-Si caractérisés par l'intégration d'au moins un composant couvert par les groupes ou , p. ex. l'intégration de transistors IGFET de composants à effet de champ uniquement de transistors FET à grille isolée [IGFET] uniquement
H10D 30/69 - Transistors IGFET ayant des isolateurs de grille à piégeage de charges, p. ex. transistors MNOS
H10D 62/10 - Formes, dimensions relatives ou dispositions des régions des corps semi-conducteursFormes des corps semi-conducteurs
H10D 84/03 - Fabrication ou traitement caractérisés par l'utilisation de technologies basées sur les matériaux utilisant une technologie du groupe IV, p. ex. technologie au silicium ou au carbure de silicium [SiC]
H10D 84/85 - Transistors IGFET complémentaires, p. ex. CMOS
H10D 86/00 - Dispositifs intégrés formés dans ou sur des substrats isolants ou conducteurs, p. ex. formés dans des substrats de silicium sur isolant [SOI] ou sur des substrats en acier inoxydable ou en verre
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Lai, Ying-Yu
Lin, Chih-Hsuan
Chen, Hsi Chung
Liao, Chih-Teng
Abrégé
A method includes forming a source/drain region for a transistor, forming a first inter-layer dielectric over the source/drain region, and forming a lower source/drain contact plug over and electrically coupling to the source/drain region. The lower source/drain contact plug extends into the first inter-layer dielectric. The method further includes depositing an etch stop layer over the first inter-layer dielectric and the lower source/drain contact plug, depositing a second inter-layer dielectric over the etch stop layer, and performing an etching process to etch the second inter-layer dielectric, the etch stop layer, and an upper portion of the first inter-layer dielectric to form an opening, with a top surface and a sidewall of the lower source/drain contact plug being exposed to the opening, and forming an upper source/drain contact plug in the opening.
H10D 84/03 - Fabrication ou traitement caractérisés par l'utilisation de technologies basées sur les matériaux utilisant une technologie du groupe IV, p. ex. technologie au silicium ou au carbure de silicium [SiC]
83.
Structure and Method for MRAM Devices with a Slot Via
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Huang, Chih-Fan
Chen, Yen-Ming
Wang, Liang-Wei
Chen, Dian-Hau
Shen, Hsiang-Ku
Abrégé
A semiconductor structure includes a third metal layer immediately above a second metal layer that is over a first metal layer. The second metal layer includes magnetic tunneling junction (MTJ) devices in a memory region and a first conductive feature in a logic region. Each MTJ device includes a bottom electrode and an MTJ stack over the bottom electrode. The third metal layer includes a first via electrically connecting to the first conductive feature, and a slot via over and electrically connecting to the MTJ stack of the MTJ devices. The slot via occupies space extending continuously and laterally from a first one to a last one of the MTJ devices. The first via is as thin as or thinner than the slot via. The third metal layer further includes second and third conductive features electrically connecting to the first via and the slot via, respectively.
G11C 11/16 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliersÉléments d'emmagasinage correspondants utilisant des éléments magnétiques utilisant des éléments dans lesquels l'effet d'emmagasinage est basé sur l'effet de spin
H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
H10B 61/00 - Dispositifs de mémoire magnétique, p. ex. dispositifs RAM magnéto-résistifs [MRAM]
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Hsieh, Cheng-Yen
Yu, Chun-Hui
Huang, Ping-Kang
Chiu, Sao-Ling
Wang, Yi-Jhang
Abrégé
A semiconductor package includes a first interposer, a second interposer, a first die, a second die and at least one bridge structure. The first interposer and the second interposer are embedded by a first dielectric encapsulation. The first die is disposed over and electrically connected to the first interposer. The second die is disposed over and electrically connected to the second interposer. The at least one bridge structure is disposed between the first die and the second die.
H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants
H01L 21/50 - Assemblage de dispositifs à semi-conducteurs en utilisant des procédés ou des appareils non couverts par l'un uniquement des groupes ou
H01L 23/31 - Encapsulations, p. ex. couches d’encapsulation, revêtements caractérisées par leur disposition
H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
85.
METAL CONTACT ISOLATION AND METHODS OF FORMING THE SAME
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Huang, Lin-Yu
Yu, Li-Zhen
Su, Huan-Chieh
Chuang, Cheng-Chi
Wang, Chih-Hao
Abrégé
A method includes forming a fin protruding from a substrate, forming first and second dummy gates across the fin, depositing first and second gate spacers on sidewalls of the first and second dummy gates, respectively, forming a source/drain epitaxial feature over the fin and between the first and second dummy gates, depositing an interlayer dielectric layer over the source/drain epitaxial feature and between the first and second gate spacers, replacing the first and second dummy gates with first and second metal gates, respectively, patterning the interlayer dielectric layer to form an opening between the first and second gate spacers, forming a dielectric cut feature in the opening, after the forming of the dielectric cut feature, etching the interlayer dielectric layer to form a trench between the first and second metal gates, and forming a source/drain contact in the trench and in electrical coupling with the source/drain epitaxial feature.
H10D 84/83 - Dispositifs intégrés formés dans ou sur des substrats semi-conducteurs qui comprennent uniquement des couches semi-conductrices, p. ex. sur des plaquettes de Si ou sur des plaquettes de GaAs-sur-Si caractérisés par l'intégration d'au moins un composant couvert par les groupes ou , p. ex. l'intégration de transistors IGFET de composants à effet de champ uniquement de transistors FET à grille isolée [IGFET] uniquement
H10D 84/03 - Fabrication ou traitement caractérisés par l'utilisation de technologies basées sur les matériaux utilisant une technologie du groupe IV, p. ex. technologie au silicium ou au carbure de silicium [SiC]
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chang, Cheng-Wei
More, Shahaji B.
Liu, Yi-Ying
Liang, Shuen-Shin
Wang, Sung-Li
Abrégé
An exemplary method includes forming a semiconductor fin having a semiconductor layer stack over a semiconductor mesa. The semiconductor layer stack includes a first semiconductor layer, a second semiconductor layer, and the first semiconductor layer is between the semiconductor mesa and the second semiconductor layer. The method further includes forming an isolation feature adjacent the semiconductor mesa and forming a semiconductor cladding layer along a sidewall of the semiconductor layer stack. The semiconductor cladding layer extends below a top surface of the semiconductor mesa and a portion of the isolation feature is between the semiconductor cladding layer and a sidewall of the semiconductor mesa. The method further includes, in a channel region, replacing the first semiconductor layer of the semiconductor fin and the semiconductor cladding layer with a gate stack. The portion of the isolation feature is between the gate stack and the sidewall of the semiconductor mesa.
H10D 84/03 - Fabrication ou traitement caractérisés par l'utilisation de technologies basées sur les matériaux utilisant une technologie du groupe IV, p. ex. technologie au silicium ou au carbure de silicium [SiC]
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Kao, Min-Feng
Yaung, Dun-Nian
Liu, Jen-Cheng
Kuo, Wen-Chang
Huang, Shih-Han
Abrégé
The present disclosure relates to an image sensor having an image sensing element surrounded by a BDTI structure, and an associated method of formation. In some embodiments, a first image sensing element and a second image sensing element are arranged next to one another within an image sensing die. A pixel dielectric stack is disposed along a back of the image sensing die overlying the image sensing elements. The pixel dielectric stack includes a first high-k dielectric layer and a second high-k dielectric layer. The BDTI structure is disposed between the first image sensing element and the second image sensing element and extends from the back of the image sensor die to a position within the image sensor die. The BDTI structure includes a trench filling layer surrounded by an isolation dielectric stack. The pixel dielectric stack has a composition different from that of the isolation dielectric stack.
H10F 39/00 - Dispositifs intégrés, ou ensembles de plusieurs dispositifs, comprenant au moins un élément couvert par le groupe , p. ex. détecteurs de rayonnement comportant une matrice de photodiodes
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Hung, Tao-Yi
Lin, Wun-Jie
Lee, Jam-Wem
Chen, Kuo-Ji
Abrégé
A device including a substrate having a frontside and a backside, a first P-I-N diode situated on the frontside of the substrate, a first terminal situated under the backside of the substrate, a plurality of frontside conductive layers, and a plurality of backside conductive layers. The plurality of frontside conductive layers situated over the first P-I-N diode and electrically connected to the first P-I-N diode. The plurality of backside conductive layers situated under the backside of the substrate and electrically connected to the first terminal that is electrically connected to the first P-I-N diode through the plurality of backside conductive layers and at least one via.
H01L 27/02 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface
H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
H01L 23/528 - Configuration de la structure d'interconnexion
H02H 9/02 - Circuits de protection de sécurité pour limiter l'excès de courant ou de tension sans déconnexion sensibles à un excès de courant
89.
SEMICONDUCTOR GATE STRUCTURE AND METHODS OF FORMING THE SAME
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Shen, Tsung-Han
Chang, Sheng-Yung
Wong, Juan Peng
Lin, Chieh
Su, Chung-Yi
Liu, Kuan-Ting
Hung, Cheng-Lung
Chang, Weng
Chui, Chi On
Abrégé
A method includes depositing a gate dielectric layer on a first channel region; depositing a p-type work function tuning layer on the gate dielectric layer; exposing the p-type work function tuning layer to a silicon-based precursor for a duration of time; and depositing a n-type work function tuning layer on the p-type work function tuning layer. Exposing the p-type work function tuning layer to the silicon-based precursor can form a silicon-containing layer on the p-type work function tuning layer.
H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
H01L 29/49 - Electrodes du type métal-isolant-semi-conducteur
H01L 29/66 - Types de dispositifs semi-conducteurs
H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chuang, Harry-Haklay
Wu, Wei-Cheng
Chu, Fang-Lan
Tsai, Chih-Peng
Abrégé
A thick bonding layer is formed over the surface of a semiconductor wafer such that a top surface of the bonding layer in an edge region is at a greater vertical height than a bottom surface of the bonding layer in a non-edge region of the semiconductor wafer. A photoresist material is then deposited across the surface of the semiconductor wafer and patterned such that the photoresist material remains only on the edge region of the semiconductor wafer. The bonding layer in the non-edge region is etched based on the photoresist material such that the top surface of the bonding layer is substantially flat and uniform across the edge region and the non-edge region of the semiconductor wafer.
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
91.
Multilayer Structure for Reducing Film Roughness in Magnetic Devices
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Zhu, Jian
Jan, Guenole
Lee, Yuan-Jen
Liu, Huanlong
Tong, Ru-Ying
Iwata, Jodi Mari
Sundar, Vignesh
Thomas, Luc
Wang, Yu-Jen
Patel, Sahil
Abrégé
A method of forming a seed layer stack for a magnetic device may include depositing a bottom seed layer, forming at least one pair of smoothing layers over the bottom seed layer, and depositing a top seed layer over and abutting the at least one pair of smoothing layers. Forming at least one pair of smoothing layers may include sputter depositing a sub-smoothing layer over the bottom seed layer and sputter depositing an amorphous sub-smoothing layer over and abutting the sub-smoothing layer. A top surface of as-sputter deposited sub-smoothing layer has a first top surface roughness, and the sputter depositing of the amorphous sub-smoothing layer causes re-sputtering of the sub-smoothing layer, such that the top surface of the as-sputter deposited sub-smoothing layer has a second top surface roughness less than the first top surface roughness. The sub-smoothing layer, the bottom seed layer, and the top seed layer include different materials.
G11C 11/16 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliersÉléments d'emmagasinage correspondants utilisant des éléments magnétiques utilisant des éléments dans lesquels l'effet d'emmagasinage est basé sur l'effet de spin
H01F 10/16 - Métaux ou alliages contenant du cobalt
H01F 10/30 - Pellicules magnétiques minces, p. ex. de structure à un domaine caractérisées par le substrat ou par les couches intermédiaires caractérisées par la composition des couches intermédiaires
H01F 10/32 - Multicouches couplées par échange de spin, p. ex. superréseaux à structure nanométrique
H01F 41/30 - Appareils ou procédés spécialement adaptés à la fabrication ou à l'assemblage des aimants, des inductances ou des transformateursAppareils ou procédés spécialement adaptés à la fabrication des matériaux caractérisés par leurs propriétés magnétiques pour appliquer des pellicules magnétiques sur des substrats pour appliquer des structures nanométriques, p. ex. en utilisant l'épitaxie par jets moléculaires [MBE]
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chern, Chan-Hong
Lai, Yi-An
Abrégé
A method includes bonding a III-V die directly to a Complementary Metal-Oxide-Semiconductor (CMOS) die to form a die stack. The III-V die includes a (111) semiconductor substrate, and a first circuit including a III-V based n-type transistor formed at a surface of the (111) semiconductor substrate. The CMOS die includes a (100) semiconductor substrate, and a second circuit including an n-type transistor and a p-type transistor on the (100) semiconductor substrate. The first circuit is electrically connected to the second circuit.
H01L 25/00 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Liaw, Jhon Jhy
Abrégé
A circuit includes a Vdd node, and a two-port Static Random-Access Memory (SRAM) cell pair having a first SRAM cell and a second SRAM cell having a same structure. The first SRAM cell is a ten-transistor SRAM cell that comprises a first pull-up transistor and a second pull-up transistor, a first pull-down transistor and a second pull-down transistor forming a latch with the first pull-up transistor and the second pull-up transistor, a first pass-gate transistor and a second pass-gate transistor connecting to the latch, a p-type isolation transistor including a first source/drain region connecting to a drain region of the first pull-up transistor, and a gate connecting to the Vdd node. The circuit further includes a read bit-line shared with the second SRAM cell. and a write bit-line pair connecting to gates of the first pass-gate transistor and the second pass-gate transistor.
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Liaw, Jhon Jhy
Abrégé
Configurations of metal layers of interconnect structures, and methods of fabrication thereof, are disclosed for memories, such as a static random-access memory (SRAM). For example, bit lines are placed in a metal one (M1) layer, which is a lowest metallization level of an interconnect structure of a memory cell, to minimize bit line capacitance, and configure bit lines as the widest metal lines of the metal one layer to minimize bit line resistance. In some embodiments, the interconnect structure has a double word line structure to reduce word line resistance. In some embodiments, the interconnect structure has a double voltage line structure to reduce voltage line resistance. In some embodiments, jogs are added to a word line and/or a voltage line to reduce its respective resistance. In some embodiments, via shapes of the interconnect structure are configured to reduce resistance of the interconnect structure.
H10D 84/03 - Fabrication ou traitement caractérisés par l'utilisation de technologies basées sur les matériaux utilisant une technologie du groupe IV, p. ex. technologie au silicium ou au carbure de silicium [SiC]
95.
METHOD TO ACHIEVE LOW CONTACT RESISTANCE AND THE STRUCTURES THEREOF
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Liu, Sih-Jie
Li, Min-Tsang
Chen, Wen-Yen
Chen, Chia-Cheng
Chen, Chien-Hao
Abrégé
A method includes forming a transistor over a semiconductor substrate, which includes forming a source/drain region through an epitaxy process. The method further includes performing a backside thinning process to thin the semiconductor substrate, etching the semiconductor substrate to form a contact opening, wherein a back surface of the source/drain region is exposed through the contact opening, performing an amorphization implantation process through the contact opening to generate an amorphous region in the source/drain region, and forming a silicide region on the source/drain region.
H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
H01L 21/324 - Traitement thermique pour modifier les propriétés des corps semi-conducteurs, p. ex. recuit, frittage
H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
H01L 29/66 - Types de dispositifs semi-conducteurs
H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chen, Ting-Jung
Abrégé
A membrane is formed through processes including depositing a first piezoelectrical layer, depositing a first electrode layer over the first piezoelectrical layer, patterning the first electrode layer to form a first electrode, depositing a second piezoelectrical layer over the first electrode, depositing a second electrode layer over the second piezoelectrical layer, patterning the second electrode layer to form a second electrode, and depositing a third piezoelectrical layer over the second electrode. The third piezoelectrical layer, the second piezoelectrical layer, and the first piezoelectrical layer are etched to form a through-hole. The through-hole is laterally spaced apart from the first electrode and the second electrode. A first contact plug and a second contact plug are then formed to electrically connect to the first electrode and the second electrode, respectively.
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chen, Hsien-Wei
Chen, Jie
Jeng, Shin-Puu
Abrégé
A method includes joining a first wafer to a second wafer, forming a first through-via penetrating through the first wafer and further extending into the second wafer, and forming a redistribution line on the first wafer. The redistribution line and the first through-via electrically connect a first conductive feature in the first wafer to a second conductive feature in the second wafer. An electrical connector is formed over the first wafer.
H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants
H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
H01L 25/10 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs ayant des conteneurs séparés
98.
PACKAGE STRUCTURE AND METHOD OF MANUFACTURING THE SAME
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Tseng, Ting-Chen
Kuo, Hung-Jui
Hu, Yu-Hsiang
Liao, Sih-Hao
Abrégé
A package structure includes a semiconductor die, conductive pillars, an insulating encapsulation, a redistribution circuit structure, and a solder resist layer. The conductive pillars are arranged aside of the semiconductor die. The insulating encapsulation encapsulates the semiconductor die and the conductive pillars, and the insulating encapsulation has a first surface and a second surface opposite to the first surface. The redistribution circuit structure is located on the first surface of the insulating encapsulation. The solder resist layer is located on the second surface of the insulating encapsulation, wherein a material of the solder resist layer includes a filler.
H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
H01L 23/528 - Configuration de la structure d'interconnexion
99.
SEMICONDUCTOR STRUCTURE AND METHOD FOR MANUFACTURING THE SAME
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. (Taïwan, Province de Chine)
Inventeur(s)
Lin, Tung-Yang
Liu, Ruey-Hsin
Su, Liang-Yu
Abrégé
A semiconductor structure is provided. The semiconductor structure includes a substrate with a first dopant concentration, a barrier layer, an epitaxial layer with a second dopant concentration and a plurality of doped regions. The barrier layer is formed on the substrate and has a first conductivity type with a gradient doping profile. The barrier layer has a higher dopant concentration level at a middle portion of the barrier layer and has a lower dopant concentration level at a top of the barrier layer and at a bottom of the barrier layer. The epitaxial layer is formed on the barrier layer. The plurality of doped regions are formed on the epitaxial layer. The higher dopant concentration level is greater than the first dopant concentration and is also greater than the second dopant concentration.
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Lee, Tsung-Lin
Lee, Wei-Yang
Wen, Ming-Chang
Chan, Chien-Tai
Yeh, Chih Chieh
Lin, Da-Wen
Abrégé
Semiconductor structures and methods of fabrication are provided. A method according to the present disclosure includes receiving a workpiece that includes an active region over a substrate and having first semiconductor layers interleaved by second semiconductor layers, and a dummy gate stack over a channel region of the active region, etching source/drain regions of the active region to form source/drain trenches that expose sidewalls of the active region, selectively and partially etching second semiconductor layers to form inner spacer recesses, forming inner spacer features in the inner spacer recesses, forming channel extension features on exposed sidewalls of the first semiconductor layers, forming source/drain features over the source/drain trenches, removing the dummy gate stack, selectively removing the second semiconductor layers to form nanostructures in the channel region, forming a gate structure to wrap around each of the nanostructures. The channel extension features include undoped silicon.
H10D 30/43 - Transistors FET ayant des canaux à gaz de porteurs de charge de dimension nulle [0D], à une dimension [1D] ou à deux dimensions [2D] ayant des canaux à gaz de porteurs de charge à une dimension, p. ex. transistors FET à fil quantique ou transistors ayant des canaux à confinement quantique à une dimension
H10D 62/10 - Formes, dimensions relatives ou dispositions des régions des corps semi-conducteursFormes des corps semi-conducteurs
H10D 62/13 - Régions semi-conductrices connectées à des électrodes transportant le courant à redresser, amplifier ou commuter, p. ex. régions de source ou de drain
H10D 84/03 - Fabrication ou traitement caractérisés par l'utilisation de technologies basées sur les matériaux utilisant une technologie du groupe IV, p. ex. technologie au silicium ou au carbure de silicium [SiC]
H10D 84/83 - Dispositifs intégrés formés dans ou sur des substrats semi-conducteurs qui comprennent uniquement des couches semi-conductrices, p. ex. sur des plaquettes de Si ou sur des plaquettes de GaAs-sur-Si caractérisés par l'intégration d'au moins un composant couvert par les groupes ou , p. ex. l'intégration de transistors IGFET de composants à effet de champ uniquement de transistors FET à grille isolée [IGFET] uniquement