Methods and substrate processing systems of chucking a bowed substrate are provided herein. In some embodiments, a substrate processing system includes: a pedestal to support a substrate, the pedestal having a plurality of chucking regions; a warpage detection system having one or more sensors to detect warpage of the substrate; and a plurality of adjustable chucking components disposed in the pedestal corresponding with the plurality of chucking regions, wherein the plurality of adjustable chucking components are configured to facilitate applying different amounts of force, heating, or cooling to the substrate based on the warpage of the substrate.
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
2.
GAS ATOMIZED FLUID CLEAN OF ELECTROPLATING CHUCK IN MAINTENANCE CHAMBER
A maintenance chamber configured to reduce contamination on an electroplating chuck, the maintenance chamber including a positioning system configured to rotate, axially move, or both rotate and axially move the electroplating chuck, and a gas atomizing nozzle, wherein the nozzle is configured to spray an atomized fluid onto the electroplating chuck, wherein the atomized fluid is configured to reduce contamination on the electroplating chuck. Further, a method for reducing contamination of an electroplating chuck inside a maintenance chamber, including placing the electroplating chuck inside the maintenance chamber, spraying the electroplating chuck with an atomized fluid from one or more nozzles, and dislodging or eroding contaminants on the electroplating chuck by mechanical interactions between atomized liquid droplets and the contaminants.
An ion implanter. The ion implanter may include an ion source to generate an ion beam; and a linear accelerator, to transport and accelerate the ion beam, the linear accelerator comprising a plurality of acceleration stages. A given acceleration stage of the plurality of acceleration stages may include an RF power supply, arranged to output an RF signal, and a drift tube assembly, arranged to transmit the ion beam, and coupled to the RF power supply. The given stage may also include a resonator, the resonator comprising a resonator enclosure, having a tapered shape, wherein the resonator enclosure has a first width in a middle location, a second width at a first end and a third width at a second end, wherein the first width is greater than the second width and greater than the third width.
H01J 37/317 - Tubes à faisceau électronique ou ionique destinés aux traitements localisés d'objets pour modifier les propriétés des objets ou pour leur appliquer des revêtements en couche mince, p. ex. implantation d'ions
H05H 7/02 - Circuits ou systèmes d'alimentation en énergie haute fréquence
H05H 7/22 - Détails d'accélérateurs linéaires, p. ex. tubes de glissement
Embodiments described herein relate to methods for forming waveguides with gratings of structures having depths distributions, method includes disposing a resist material over areas of a device material or a substrate corresponding to gratings of structures to be formed having depth distributions, imprinting a stamp into the resist material over areas, the stamp having a positive pattern of the depth distribution, the imprinting the stamp and curing the resist material forms a patterned resist over the areas, releasing the stamp, etching the patterned resist and one of the device material or the substrate to form the depth distributions in the device material or the substrate, and forming the structures in the areas having the depth distributions to form the gratings.
G02B 6/136 - Circuits optiques intégrés caractérisés par le procédé de fabrication par gravure
G02B 6/12 - Guides de lumièreDétails de structure de dispositions comprenant des guides de lumière et d'autres éléments optiques, p. ex. des moyens de couplage du type guide d'ondes optiques du genre à circuit intégré
A station for a substrate processing system that includes a magnetic levitation actuator assembly disposed in a first region of the station that is separated from a second region of the station by a membrane. The magnetic levitation actuator assembly is configured to contactlessly convey a carrier disposed in the second region to one or more positions within the second region.
H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p. ex. entre différents postes de travail
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p. ex. mandrins, pièces de serrage, pinces
H01J 37/32 - Tubes à décharge en atmosphère gazeuse
6.
PROCESSING CHAMBER AND METHOD FOR INTEGRATED ETCHING AND DEPOSITION
Disclosed herewith are a showerhead, a processing chamber, and a method for growing an epitaxial layer. A body of the showerhead has a diskshape. The body includes a separation section formed by a solid circular sector and a connecting section formed by another circular sector that have a plurality of conduits. The connecting section and the separation section are coplanar and non-overlapping. The processing chamber includes the showerhead, a first gas inlet configured to flow a deposition gas in a lateral direction to a first plenum under the showerhead; and a second gas inlet configured to flow an etchant gas to a second plenum above the showerhead. The plurality of conduits allow the etchant gas to flow in a direction from the first plenum to the second plenum. The method can simultaneously implement both a deposition process and an etching process in the processing chamber.
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
7.
SUBSTRATE MEASUREMENT SYSTEMS HAVING SUBSTRATE HOLDERS
An apparatus includes a substrate holder having a surface to receive a substrate. The surface has a texture that causes an optical beam incident on the surface to scatter in at least one direction away from an optical detector to be located above the substrate holder.
G01B 11/06 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la longueur, la largeur ou l'épaisseur pour mesurer l'épaisseur
G01B 11/24 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer des contours ou des courbes
G01B 11/30 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la rugosité ou l'irrégularité des surfaces
G01B 5/00 - Dispositions pour la mesure caractérisées par l'utilisation de techniques mécaniques
Embodiments described herein relate to substrate holder systems. An apparatus includes a substrate holder having a surface to receive a substrate. The surface has a texture that causes an optical beam incident on the surface to scatter in at least one direction away from an optical detector to be located above the substrate holder.
G01B 11/06 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la longueur, la largeur ou l'épaisseur pour mesurer l'épaisseur
G01B 11/24 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer des contours ou des courbes
G01B 11/30 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la rugosité ou l'irrégularité des surfaces
G01B 5/00 - Dispositions pour la mesure caractérisées par l'utilisation de techniques mécaniques
Methods and apparatus for processing a substrate in a process chamber include: positioning a substrate on a substrate support in a process volume so that the substrate is opposite a sputter target comprising indium tin oxide; flowing a plasma-forming gas into the process volume; and sputtering the indium tin oxide onto the substrate while applying AC bias to the substrate.
A faceplate and fasteners for attaching the faceplate to an ion source are disclosed. The faceplate includes a plurality of channels that open to the side of the faceplate. These channels are an elongated shape such that the channels are larger in one direction than in the orthogonal direction. The channels each terminate in an internal cavity, which has a larger size than the channels. The fasteners may be rods that are shaped such that the proximal ends of the fastener have a bent portion, which can only enter the channel when properly oriented. Once the bent portion is passed through the channel and extends into the internal cavity, the fastener can be rotated so as to secure it in place.
Methods and substrate processing systems of chucking a bowed substrate are provided herein. In some embodiments, a substrate processing system includes: a pedestal to support a substrate, the pedestal having a plurality of chucking regions; a warpage detection system having one or more sensors to detect warpage of the substrate; and a plurality of adjustable chucking components disposed in the pedestal corresponding with the plurality of chucking regions, wherein the plurality of adjustable chucking components are configured to facilitate applying different amounts of force, heating, or cooling to the substrate based on the warpage of the substrate.
Disclosed herewith are a showerhead, a processing chamber, and a method for growing an epitaxial layer. A body of the showerhead has a disk-shape. The body includes a separation section formed by a solid circular sector and a connecting section formed by another circular sector that have a plurality of conduits. The connecting section and the separation section are coplanar and non-overlapping. The processing chamber includes the showerhead, a first gas inlet configured to flow a deposition gas in a lateral direction to a first plenum under the showerhead; and a second gas inlet configured to flow an etchant gas to a second plenum above the showerhead. The plurality of conduits allow the etchant gas to flow in a direction from the first plenum to the second plenum. The method can simultaneously implement both a deposition process and an etching process in the processing chamber.
Embodiments of the disclosure provided herein include an apparatus and method for tuning the thermal profile on a substrate in the semiconductor processing chamber. The substrate processing chamber includes an upper body defining a processing volume, a heat source configured to heat the processing volume, a substrate envelope assembly disposed within the processing volume, and a substrate support assembly disposed within the substrate envelope assembly. The substrate processing chamber may also include a heat source disposed above the substrate support assembly and coupled to the upper body, an isolation plate assembly disposed between the substrate support assembly and the heat source, and a substrate envelope assembly. The substrate processing system may also include a pre-heat cylinder, the pre-heat cylinder includes a first replaceable portion with one or more inlet openings and a second replaceable portion with one or more outlet openings.
A faceplate and fasteners for attaching the faceplate to an ion source are disclosed. The faceplate includes a plurality of channels that open to the side of the faceplate. These channels are an elongated shape such that the channels are larger in one direction than in the orthogonal direction. The channels each terminate in an internal cavity, which has a larger size than the channels. The fasteners may be rods that are shaped such that the proximal ends of the fastener have a bent portion, which can only enter the channel when properly oriented. Once the bent portion is passed through the channel and extends into the internal cavity, the fastener can be rotated so as to secure it in place.
H01J 37/317 - Tubes à faisceau électronique ou ionique destinés aux traitements localisés d'objets pour modifier les propriétés des objets ou pour leur appliquer des revêtements en couche mince, p. ex. implantation d'ions
15.
GAS ATOMIZED FLUID CLEAN OF ELECTROPLATING CHUCK IN MAINTENANCE CHAMBER
A maintenance chamber configured to reduce contamination on an electroplating chuck, the maintenance chamber including a positioning system configured to rotate, axially move, or both rotate and axially move the electroplating chuck, and a gas atomizing nozzle, wherein the nozzle is configured to spray an atomized fluid onto the electroplating chuck, wherein the atomized fluid is configured to reduce contamination on the electroplating chuck. Further, a method for reducing contamination of an electroplating chuck inside a maintenance chamber, including placing the electroplating chuck inside the maintenance chamber, spraying the electroplating chuck with an atomized fluid from one or more nozzles, and dislodging or eroding contaminants on the electroplating chuck by mechanical interactions between atomized liquid droplets and the contaminants.
A processing system for semiconductor manufacturing includes a chamber housing and a substrate support disposed in the chamber housing. The system also includes a lift pin coupled to the substrate support and a ring for actuating the lift pin. The ring is movable between a raised position and a lowered position. An expandable filler is disposed in the chamber housing. The expandable filler has an expanded configuration when the ring is in the raised position and has a contracted configuration when the ring is in the lowered position.
H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p. ex. mandrins, pièces de serrage, pinces
17.
ATOMIC LAYER DEPOSITION OF MOLYBDENUM SILICIDE THIN FILMS
Methods for depositing molybdenum silicide films on a substrate are described. The substrate is exposed to a molybdenum- and silicon-containing precursor and a silane reactant to form the molybdenum silicide film. The exposures can be sequential or simultaneous. Inherent deposition selectivity of the process can be achieved through adjusting process parameters, particularly temperature or precursor dose, to produce more deposition on a metal material over a dielectric material.
C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement
18.
NON-DESTRUCTIVE SURFACE METROLOGY OF PATTERNED WAFERS
Disclosed herein is a non-destructive method for determining a vertical extent of a feature of a patterned wafer, the method including using a scanning electron microscope (SEM) to scan an e-beam over a featured region on a tested wafer and sense backscattered electrons returned from the tested wafer to obtain a backscattered electron (BSE) image of the featured region, wherein the scanned e-beam is projected on the tested wafer so as to impinge thereon at an electronic tilt angle of up to 2° in order to minimize non-linear diffraction effects.
G01N 23/203 - Recherche ou analyse des matériaux par l'utilisation de rayonnement [ondes ou particules], p. ex. rayons X ou neutrons, non couvertes par les groupes , ou en utilisant la diffraction de la radiation par les matériaux, p. ex. pour rechercher la structure cristallineRecherche ou analyse des matériaux par l'utilisation de rayonnement [ondes ou particules], p. ex. rayons X ou neutrons, non couvertes par les groupes , ou en utilisant la diffusion de la radiation par les matériaux, p. ex. pour rechercher les matériaux non cristallinsRecherche ou analyse des matériaux par l'utilisation de rayonnement [ondes ou particules], p. ex. rayons X ou neutrons, non couvertes par les groupes , ou en utilisant la réflexion de la radiation par les matériaux en mesurant la rétrodiffusion
19.
IN SITU DEPOSITION OF FILMSTACKS FOR EUV PATTERNING
In some embodiments, the present disclosure provides methods of processing substrates. A first hardmask gas is introduced to a processing volume of a processing chamber to form an amorphous carbon hardmask film on a substrate disposed in the processing volume. The first hardmask gas includes a carbon containing gas. A second hardmask gas is introduced to the processing volume to form a silicon hardmask film on the amorphous carbon hardmask film. The second hardmask gas includes a silicon containing gas. An underlayer gas mixture is introduced to the processing volume to deposit a resist underlayer on the silicon hardmask film.
G03F 7/11 - Matériaux photosensibles caractérisés par des détails de structure, p. ex. supports, couches auxiliaires avec des couches de recouvrement ou des couches intermédiaires, p. ex. couches d'ancrage
H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence
C23C 16/52 - Commande ou régulation du processus de dépôt
20.
METHOD AND MATERIAL SYSTEM FOR BACKSIDE POWER DELIVERY NETWORK IN STATIC RANDOM-ACCESS MEMORY DEVICES
Methods and structure for static random-access memory (SRAM) devices with SRAM cells that have backside power delivery networks. A semiconductor device can include one or more static random-access memory cells. Each SRAM cell can include a backside power delivery network with a drain voltage rail and a source voltage rail. Each SRAM cell can also include a memory layer overlaying the backside power delivery network. The memory layer can implement an SRAM memory element. The drain voltage rail and the source voltage rail are connected to contacts at a top of the SRAM memory element. Each SRAM cell can also include a frontside layer overlaying the memory layer. The memory layer can include a word line and a bit line that are connected to the top of the SRAM memory element.
H10B 10/00 - Mémoires statiques à accès aléatoire [SRAM]
G11C 11/417 - Circuits auxiliaires, p. ex. pour l'adressage, le décodage, la commande, l'écriture, la lecture, la synchronisation ou la réduction de la consommation pour des cellules de mémoire du type à effet de champ
G11C 11/412 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliersÉléments d'emmagasinage correspondants utilisant des éléments électriques utilisant des dispositifs à semi-conducteurs utilisant des transistors formant des cellules avec réaction positive, c.-à-d. des cellules ne nécessitant pas de rafraîchissement ou de régénération de la charge, p. ex. multivibrateur bistable, déclencheur de Schmitt utilisant uniquement des transistors à effet de champ
21.
ATOMIC LAYER DEPOSITION OF MOLYBDENUM SILICIDE THIN FILMS
Methods for depositing molybdenum silicide films on a substrate are described. The substrate is exposed to a molybdenum- and silicon-containing precursor and a silane reactant to form the molybdenum silicide film. The exposures can be sequential or simultaneous. Inherent deposition selectivity of the process can be achieved through adjusting process parameters, particularly temperature or precursor dose, to produce more deposition on a metal material over a dielectric material.
C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement
C23C 16/04 - Revêtement de parties déterminées de la surface, p. ex. au moyen de masques
22.
GAS ATOMIZED PREWETTING AND CLEANING SYSTEM AND METHOD
A semiconductor substrate wetting and cleaning system includes a processing chamber (12) and a rotatable head disposed in the processing chamber. A coupler or chuck (24) couples a semiconductor substrate or wafer (18) to the rotatable head. At least one gas atomized spray nozzle (20) is directed at the semiconductor substrate (18) when coupled to the coupler. A source (21) of wetting/cleaning fluid is in flow communication with the spray nozzle (20), and a source (23) of atomizing gas also is in flow communication with the spray nozzle (20) to atomize the wetting/cleaning fluid.
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
C25D 5/34 - Prétraitement des surfaces métalliques à revêtir de métaux par voie électrolytique
A processing system for semiconductor manufacturing includes a chamber housing and a substrate support disposed in the chamber housing. The system also includes a lift pin coupled to the substrate support and a ring for actuating the lift pin. The ring is movable between a raised position and a lowered position. An expandable filler is disposed in the chamber housing. The expandable filler has an expanded configuration when the ring is in the raised position and has a contracted configuration when the ring is in the lowered position.
H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p. ex. mandrins, pièces de serrage, pinces
C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
A method of forming a portion of a gate-all-around field-effect transistor (GAA FET) includes forming placeholders, each interfacing with an extension region electrically isolated from replacement-metal-gate (RMG) stacks by inner spacers, in recesses formed within portions of a substrate isolated by shallow trench isolations (STIs), the recesses extending into a front inter-layer dielectric (ILD) formed on the substrate, removing the placeholders selectively to the substrate and the STIs, forming a cavity at an exposed surface of the extension region within each of the recesses, forming a contact layer within the cavity, forming an interface on the contact layer, and a contact metallization process to form a metal contact within each of the recesses, selectively etching the substrate against the RMG stacks and form ILD recesses between adjacent metal contacts, forming a dielectric liner surrounding the metal contacts, and forming a back ILD in each of the ILD recesses.
H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p. ex. condensation
H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
H01L 29/66 - Types de dispositifs semi-conducteurs
H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
The present disclosure provides methods for processing a semiconductor device substrate. A nucleation layer is deposited on a surface of a feature formed in a surface of a substrate by a first deposition process. The first deposition process including flowing a molybdenum-containing precursor and a reducing agent precursor gas into a processing chamber at a first flow rate ratio of about 1×10−8 to about 2×10−3 of molybdenum-containing precursor to reducing agent. At least a portion of the feature is filled with a molybdenum gap fill material by exposing the deposited nucleation layer feature to a second deposition process. The second deposition process including flowing the molybdenum-containing precursor and the reducing agent precursor gas into a processing chamber at a second flow rate ratio of about 2×10−5 to about 1×10−2 of molybdenum-containing precursor to reducing agent, wherein the second flow rate ratio is greater than the first flow rate ratio.
Embodiments disclosed herein relate to an apparatus that includes a housing with a cavity, and a cover with a first surface and a second surface. In an embodiment, the cover is coupled to the housing with the second surface facing the housing. In an embodiment, a sensor is on the first surface of the cover, and a battery is electrically coupled to the sensor. In an embodiment, the battery is within the cavity.
H01J 37/244 - DétecteursComposants ou circuits associés
H01J 37/32 - Tubes à décharge en atmosphère gazeuse
H02J 50/20 - Circuits ou systèmes pour l'alimentation ou la distribution sans fil d'énergie électrique utilisant des micro-ondes ou des ondes radio fréquence
27.
GAS ATOMIZED PREWETTING CHAMBER AND CLEANING SYSTEM AND METHOD
A semiconductor substrate wetting and cleaning system includes a processing chamber (12) and a rotatable head disposed in the processing chamber. A coupler or chuck (24) couples a semiconductor substrate or wafer (18) to the rotatable head. At least one gas atomized spray nozzle (20) is directed at the semiconductor substrate (18) when coupled to the coupler. A source (21) of wetting/cleaning fluid is in flow communication with the spray nozzle (20), and a source (23) of atomizing gas also is in flow communication with the spray nozzle (20) to atomize the wetting/cleaning fluid.
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
28.
SUBSTRATE MEASUREMENT SYSTEMS HAVING SUBSTRATE HOLDERS
An apparatus includes a substrate holder having a surface to receive a substrate. The surface has a texture that causes an optical beam incident on the surface to scatter in at least one direction away from an optical detector to be located above the substrate holder.
G01J 3/02 - SpectrométrieSpectrophotométrieMonochromateursMesure de la couleur Parties constitutives
G01J 3/10 - Aménagements de sources lumineuses spécialement adaptées à la spectrométrie ou à la colorimétrie
G01J 3/42 - Spectrométrie d'absorptionSpectrométrie à double faisceauSpectrométrie par scintillementSpectrométrie par réflexion
H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
A station for a substrate processing system that includes a magnetic levitation actuator assembly disposed in a first region of the station that is separated from a second region of the station by a membrane. The magnetic levitation actuator assembly is configured to contactlessly convey a carrier disposed in the second region to one or more positions within the second region.
H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p. ex. entre différents postes de travail
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
Embodiments of the present disclosure provide a method including capturing an image having an alignment mark, rotating the captured image by a first amount to produce a rotated image to determine a center point of the alignment mark and establishing a positional relationship between the rotated image and the captured image. The method further includes separating the alignment mark into a first alignment mark portion and a second alignment mark portion, rotating the first alignment mark portion by the first amount, establishing a positional relationship between the rotated first segment and the first alignment mark portion to determine a center point of the first alignment mark portion, rotating the second alignment mark portion by the first amount, establishing a positional relationship between the rotated second segment and the second alignment mark portion to determine a center point of the second alignment mark portion, and computing an overlay error.
G03F 7/00 - Production par voie photomécanique, p. ex. photolithographique, de surfaces texturées, p. ex. surfaces impriméesMatériaux à cet effet, p. ex. comportant des photoréservesAppareillages spécialement adaptés à cet effet
Embodiments of the disclosure generally relate to methods for converting surface metal oxides to pure metal. In particular, embodiments of the disclosure pertain to methods for reducing metal oxides by microwave process. In some embodiments, a method includes positioning a semiconductor structure within a processing chamber. The semiconductor structure includes an SiO2 layer deposited on a substrate surface, a hardmask layer deposited over the SiO2 layer, a feature formed from a low-k dielectric material deposited over a portion of the hardmask layer, and a metal layer deposited in the feature. The metal layer includes a molybdenum (Mo) layer and a molybdenum oxide layer (MoOx). The method further includes flowing a process gas into the processing chamber. The process gas includes carbon monoxide. The method further includes applying a microwave energy to the process gas to perform a redox operation on a portion of the semiconductor structure.
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
C23G 5/00 - Nettoyage ou dégraissage des matériaux métalliques par d'autres méthodesAppareils pour le nettoyage ou le dégraissage de matériaux métalliques au moyen de solvants organiques
32.
DEEP TRENCH SIDEWALL PASSIVATION USING CONFORMAL PLASMA DOPING AND LOW-TEMPERATURE THERMAL TREATMENTS
The disclosure provides approaches for forming complementary metal-oxide-semiconductor image sensors having passivated sidewalls using plasma doping and low-temperature thermal processes. One approach may include a method may include providing a main body of a complementary metal oxide semiconductor image sensor, and forming a plurality of trenches in a back side of the main body, wherein each of the plurality of trenches includes a set of sidewalls and a base extending between the set of sidewalls. The method may further include performing a plasma treatment to form a doped layer along the base and along each of the set of sidewalls, performing a thermal treatment on the doped layer, and forming a dielectric layer over the doped layer following the thermal treatment.
H10F 39/00 - Dispositifs intégrés, ou ensembles de plusieurs dispositifs, comprenant au moins un élément couvert par le groupe , p. ex. détecteurs de rayonnement comportant une matrice de photodiodes
33.
SELECTIVE PLASMA ASSISTED DEPOSITION OF MO-SILICIDE
A method includes positioning a substrate within a processing chamber that comprises a feature formed within a dielectric layer formed over an underlayer, delivering an RF power to the processing chamber to generate a plasma over the substrate including: delivering a processing gas during a first time period, delivering a reactive gas into a flow of the processing gas during a second time period to form a pretreatment gas, delivering a deposition gas during a third time period, the deposition gas comprising a precursor gas and the pretreatment gas; and delivering a post - treatment gas during a fourth time period comprising halting the delivering of the precursor gas during the fourth time period, halting the delivering of the RF power and delivering the precursor gas into a flow of the post-treatment gas during a fifth time period, and purging the processing chamber during a sixth time period.
C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
C23C 16/509 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence utilisant des électrodes internes
34.
DELIVERY OF CONFIGURABLE PULSED VOLTAGE WAVEFORMS FOR SUBSTRATE PROCESSING
Methods and apparatus for delivering configurable pulsed voltage waveforms to an electrode for substrate processing. One example method generally includes applying a positive DC bias relative to ground to a first electrode disposed within a processing region of a processing chamber. The positive DC bias is configured to alter a plasma potential relative to ground of a plasma formed in the processing region of the processing chamber. The method also generally includes delivering a pulsed-voltage (PV) waveform to a second electrode disposed in a substrate support within the processing chamber. Amplitudes of pulses of the PV waveform extend from a positive voltage relative to ground to a negative voltage relative to ground. The positive voltage relative to ground is greater than the plasma potential relative to ground.
Disclosed herein are a priming system, a substrate cleaning module having the priming system, and a method of operating the substrate cleaning module. The priming system includes a diversion valve configured to selectively direct a flow of an agent; a priming nozzle mechanism coupled with the diversion valve; and a separation chamber coupled with the priming nozzle mechanism. The priming nozzle mechanism includes a releasing nozzle configured to release the agent inside the separation chamber, and the separation chamber is configured to process the agent. The method of operating the substrate cleaning module includes operations to redirect an agent from a dispensing nozzle to a releasing nozzle during a time period when the dispensing nozzle stops dispensing the agent. The method further includes operations to continue dispensing the agent by the releasing nozzle during the same time period when the dispensing nozzle stops dispensing the agent.
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
36.
HEATER WITH EXTERNAL OUTER ZONE THERMOCOUPLE CHANNEL THROUGH HEATER SHAFT
Embodiments of the present disclosure provide a system and method for constructing a heater assembly. The method includes forming a groove on a bottom surface of a heater plate, forming an opening through a sidewall of a heater shaft, bonding the heater shaft to the heater plate such that the opening through the sidewall of the heater shaft cooperates with the groove of the bottom surface of the heater plate to define a channel, and inserting a thermocouple into the opening formed through the sidewall of the heater shaft and into the groove of the heater plate.
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
Exemplary semiconductor processing methods may include providing a hydrogen-containing precursor and a nitrogen-containing precursor to a processing region of a semiconductor processing chamber. A substrate may be disposed within the processing region. The substrate may include a layer of a silicon-containing material. The methods may include forming plasma effluents of the hydrogen-containing precursor and the nitrogen containing precursor. The methods may include contacting the substrate with plasma effluents of the hydrogen-containing precursor and the nitrogen-containing precursor. The contacting may reduce a carbon concentration, a nitrogen concentration, or both in a portion of the layer of the silicon-containing material. The methods may include providing one or more etchant precursors to the processing region. The methods may include contacting the substrate with the one or more etchant precursors. The contacting may remove the portion of the layer of the silicon-containing material.
Embodiments herein are generally directed to systems and methods for removing metal oxide layers for back-end-of-line processes. A substrate processing system includes a processing chamber configured to generate a plasma within the processing chamber, a substrate electrode embedded within a substrate support assembly disposed within the processing chamber, a radio frequency (RF) generator assembly coupled to the substrate electrode, and a controller. The controller is configured to flow a cleaning gas over a surface of a substrate support disposed within a processing chamber and generate a radio frequency (RF) pulsed bias that delivers an RF waveform for a first portion of a pulse period and halts the delivery of the RF waveform for a second portion of the pulse period and apply the RF pulsed bias to the substrate electrode while the plasma is present in the processing chamber.
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
H01J 37/32 - Tubes à décharge en atmosphère gazeuse
Embodiments disclosed herein relate to an apparatus that includes a housing with a cavity, and a cover with a first surface and a second surface. In an embodiment, the cover is coupled to the housing with the second surface facing the housing. In an embodiment, a sensor is on the first surface of the cover, and a battery is electrically coupled to the sensor. In an embodiment, the battery is within the cavity.
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
40.
DIRECT BONDING USING LOW INDEX FILM AND LAMINATION FOR WAVEGUIDE
Embodiments of the present invention relate to waveguide and methods of forming waveguides. The waveguide includes a waveguide stack including a waveguide substrate having a top surface and a bottom surface, a first low index layer disposed on the top surface, a first cap layer disposed on the first low index layer, a first lens disposed on the first cap layer, and a first optically clear adhesive layer between the first low index layer and the first lens. In another embodiment, a method of forming a waveguide is provided. The method includes dispensing a liquid optically clear adhesive layer on a lens, placing a waveguide stack including a first low index layer onto the liquid optically clear adhesive opposite the lens, and curing the liquid optically clear adhesive. The waveguide stack further includes a first cap layer disposed on the first low index layer.
G02B 6/00 - Guides de lumièreDétails de structure de dispositions comprenant des guides de lumière et d'autres éléments optiques, p. ex. des moyens de couplage
B32B 7/12 - Liaison entre couches utilisant des adhésifs interposés ou des matériaux interposés ayant des propriétés adhésives
B32B 37/12 - Procédés ou dispositifs pour la stratification, p. ex. par polymérisation ou par liaison à l'aide d'ultrasons caractérisés par l'usage d'adhésifs
Exemplary wafer seal chuck assembly maintenance chambers may include a chamber body defining an open interior. The chambers may include a plurality of chuck assembly supports disposed within the open interior. The plurality of chuck assembly supports may be rotatable within the open interior. The chambers may include a tool arm that is positionable above the open interior. The chambers may include an imaging device mounted on a distal end of the tool arm.
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
42.
BIAS MODULATION FOR MOLYBDENUM OXIDE REDUCTION IN BEOL
Embodiments herein are generally directed to systems and methods for removing metal oxide layers for back-end-of-line processes. A substrate processing system includes a processing chamber configured to generate a plasma within the processing chamber, a substrate electrode embedded within a substrate support assembly disposed within the processing chamber, a radio frequency (RF) generator assembly coupled to the substrate electrode, and a controller. The controller is configured to flow a cleaning gas over a surface of a substrate support disposed within a processing chamber and generate a radio frequency (RF) pulsed bias that delivers an RF waveform for a first portion of a pulse period and halts the delivery of the RF waveform for a second portion of the pulse period and apply the RF pulsed bias to the substrate electrode while the plasma is present in the processing chamber.
The present disclosure generally relates to a nozzle assembly, a polishing system, and a method for operating the polishing system. The nozzle assembly includes an upper manifold attached to a top surface of a platen and a lower manifold attached to a bottom surface of the platen. The upper manifold secures a slurry-collecting line having a slurry-collecting tube and a cleaning line having a cleaning tube. The cleaning line has a waste-collecting valve and a cleaning valve that are secured by the lower manifold. The polishing system includes a polishing platen to support a polishing pad; a gutter disposed around the polishing platen; and a slurry-collecting line comprising a slurry-collecting tube coupled with a slurry-collecting valve, the slurry-collecting tube extending into the gutter, the slurry-collecting line being configured to remove slurries out of the gutter in a slurry-collecting mode and dispense a flushing agent in a flushing mode.
B24B 57/02 - Dispositifs pour l'alimentation, l'application, le triage ou la récupération de produits de meulage, polissage ou rodage pour l'alimentation en produits de meulage, polissage ou rodage à l'état fluide, vaporisés, pulvérisés ou liquéfiés
H01L 21/306 - Traitement chimique ou électrique, p. ex. gravure électrolytique
Disclosed herein are a priming system, a substrate cleaning module having the priming system, and a method of operating the substrate cleaning module. The priming system includes a diversion valve configured to selectively direct a flow of an agent; a priming nozzle mechanism coupled with the diversion valve; and a separation chamber coupled with the priming nozzle mechanism. The priming nozzle mechanism includes a releasing nozzle configured to release the agent inside the separation chamber, and the separation chamber is configured to process the agent. The method of operating the substrate cleaning module includes operations to redirect an agent from a dispensing nozzle to a releasing nozzle during a time period when the dispensing nozzle stops dispensing the agent. The method further includes operations to continue dispensing the agent by the releasing nozzle during the same time period when the dispensing nozzle stops dispensing the agent.
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
Exemplary wafer seal chuck assembly maintenance chambers may include a chamber body defining an open interior. The chambers may include a plurality of chuck assembly supports disposed within the open interior. The plurality of chuck assembly supports may be rotatable within the open interior. The chambers may include a tool arm that is positionable above the open interior. The chambers may include an imaging device mounted on a distal end of the tool arm.
H04N 23/11 - Caméras ou modules de caméras comprenant des capteurs d'images électroniquesLeur commande pour générer des signaux d'image à partir de différentes longueurs d'onde pour générer des signaux d'image à partir de longueurs d'onde de lumière visible et infrarouge
46.
DEEP TRENCH SIDEWALL PASSIVATION USING CONFORMAL PLASMA DOPING AND LOW-TEMPERATURE THERMAL TREATMENTS
The disclosure provides approaches for forming complementary metal-oxide-semiconductor image sensors having passivated sidewalls using plasma doping and low-temperature thermal processes. One approach may include a method may include providing a main body of a complementary metal oxide semiconductor image sensor, and forming a plurality of trenches in a back side of the main body, wherein each of the plurality of trenches includes a set of sidewalls and a base extending between the set of sidewalls. The method may further include performing a plasma treatment to form a doped layer along the base and along each of the set of sidewalls, performing a thermal treatment on the doped layer, and forming a dielectric layer over the doped layer following the thermal treatment.
The present disclosure relates to lift pins that facilitate uniformity, and related components, chamber kits, processing chambers, and methods for semiconductor manufacturing. In one or more embodiments, a lift pin for processing chambers includes a rod including a shaft section and a head section. The head section includes an opening formed in an outer edge of the head section and extending radially inwardly. The lift pin includes a pad sized and shaped to fit at least partially around the head section of the rod such that the head section of the rod is movable relative to the pad within a movement range.
H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p. ex. mandrins, pièces de serrage, pinces
Embodiments disclosed herein relate to an apparatus that includes a chamber with an interior surface, and a sensor system coupled to the interior surface. In an embodiment, the sensor system includes a board, and a sensor antenna on the board. In an embodiment, a sensor is communicatively coupled to the sensor antenna, where the sensor is configured to be powered by the sensor antenna. In an embodiment, a chamber antenna is within the chamber, where the chamber antenna is configured to communicatively couple with the sensor antenna.
H01J 37/244 - DétecteursComposants ou circuits associés
H01J 37/32 - Tubes à décharge en atmosphère gazeuse
H02J 50/20 - Circuits ou systèmes pour l'alimentation ou la distribution sans fil d'énergie électrique utilisant des micro-ondes ou des ondes radio fréquence
Embodiments described herein relate to substrate holder systems. An apparatus includes a substrate holder having a surface to receive a substrate. The surface has a texture that causes an optical beam incident on the surface to scatter in at least one direction away from an optical detector to be located above the substrate holder.
H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p. ex. mandrins, pièces de serrage, pinces
50.
METHOD AND MATERIAL SYSTEM FOR BACKSIDE POWER DELIVERY NETWORK IN STATIC RANDOM-ACCESS MEMORY DEVICES
Methods and structure for static random-access memory (SRAM) devices with SRAM cells that have backside power delivery networks. A semiconductor device can include one or more static random-access memory cells. Each SRAM cell can include a backside power delivery network with a drain voltage rail and a source voltage rail. Each SRAM cell can also include a memory layer overlaying the backside power delivery network. The memory layer can implement an SRAM memory element. The drain voltage rail and the source voltage rail are connected to contacts at a top of the SRAM memory element. Each SRAM cell can also include a frontside layer overlaying the memory layer. The memory layer can include a word line and a bit line that are connected to the top of the SRAM memory element.
A station for a substrate processing system that includes a magnetic levitation actuator assembly disposed in a first region of the station that is separated from a second region of the station by a membrane. The magnetic levitation actuator assembly is configured to contactlessly convey a carrier disposed in the second region to one or more positions within the second region.
H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p. ex. entre différents postes de travail
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
H01L 21/68 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le positionnement, l'orientation ou l'alignement
A station for a substrate processing system that includes a magnetic levitation actuator assembly disposed in a first region of the station that is separated from a second region of the station by a membrane. The magnetic levitation actuator assembly is configured to contactlessly convey a carrier disposed in the second region to one or more positions within the second region.
H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p. ex. entre différents postes de travail
B65G 54/02 - Transporteurs non mécaniques, non prévus ailleurs électrostatiques, électriques ou magnétiques
H02N 15/00 - Dispositifs de maintien ou de lévitation utilisant l'attraction ou la répulsion magnétique, non prévus ailleurs
53.
IN SITU DEPOSITION OF FILMSTACKS FOR EUV PATTERNING
In some embodiments, the present disclosure provides methods of processing substrates. A first hardmask gas is introduced to a processing volume of a processing chamber to form an amorphous carbon hardmask film on a substrate disposed in the processing volume. The first hardmask gas includes a carbon containing gas. A second hardmask gas is introduced to the processing volume to form a silicon hardmask film on the amorphous carbon hardmask film. The second hardmask gas includes a silicon containing gas. An underlayer gas mixture is introduced to the processing volume to deposit a resist underlayer on the silicon hardmask film.
H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
G03F 7/16 - Procédés de couchageAppareillages à cet effet
H01J 37/32 - Tubes à décharge en atmosphère gazeuse
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
54.
HAFNIUM ALUMINUM OXIDE COATINGS DEPOSITED BY ATOMIC LAYER DEPOSITION
Embodiments of the present disclosure relate to articles, coated articles, and methods of coating such articles with a corrosion resistant coating. The corrosion resistant coating can comprise hafnium aluminum oxide. The corrosion resistant coating may be deposited by a non-line of sight deposition, such as atomic layer deposition. Articles that may be coated may include chamber components, such as gas lines.
C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence
55.
ION SOURCE FOR CONTROLLING DECOMPOSITION BUILDUP USING CHLORINE CO-GAS
An ion source for generating an ion beam containing aluminum ions is disclosed. The ion source includes a first gas source to introduce an organoaluminium compound into the arc chamber of the ion source. A second gas, different from the first gas, which is a chlorine-containing gas is also introduced to the arc chamber. The chloride co-flow reduces the buildup of decomposition material that occurs within the arc chamber. This buildup may occur at the gas bushing, the extraction aperture or near the repeller. In some embodiments, the second gas is introduced continuously. In other embodiments, the second gas is periodically introduced, based on hours of operation or the measured uniformity of the extracted ion beam. The second gas may be introduced from second gas source or from a vaporizer.
A method includes identifying a dynamic acceptable area outside of guardband limits. The method further includes causing, based on the dynamic acceptable area outside of the guardband limits, performance of a corrective action associated with a substrate processing system.
Embodiments disclosed herein include a method of monitoring a condition of a chamber. In an embodiment, the method comprises processing a substrate in the chamber, providing substrate history and chamber data to a model of the chamber, where the model of the chamber is configured to predict a chamber cleanliness, comparing the predicted chamber cleanliness against a performance limit, and flagging the chamber for preventive maintenance (PM) when the predicted chamber cleanliness is above the performance limit.
B08B 13/00 - Accessoires ou parties constitutives, d'utilisation générale, des machines ou appareils de nettoyage
B08B 5/00 - Nettoyage par des procédés impliquant l'utilisation d'un courant d'air ou de gaz
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
58.
APPARATUS FOR AND METHOD OF MASKING AN EDGE OF A SUBSTRATE OF A DEPOSITION PROCESS
An apparatus for masking an edge of a substrate during a deposition process is provided. The apparatus comprises a deposition mask with a mask frame and one or more masking elements configured to form an edge exclusion mask; an interface to receive at least one temperature information; at least one temperature adjustor configured to adjust a temperature of the one or more masking elements; a temperature controller for controlling the at least one temperature adjustor to adjust the temperature of the one or more masking elements based on the at least one temperature information.
The present disclosure generally relates to a nozzle assembly, a polishing system, and a method for operating the polishing system. The nozzle assembly includes an upper manifold attached to a top surface of a platen and a lower manifold attached to a bottom surface of the platen. The upper manifold secures a slurry¬ collecting line having a slurry-collecting tube and a cleaning line having a cleaning tube. The cleaning line has a waste-collecting valve and a cleaning valve that are secured by the lower manifold. The polishing system includes a polishing platen to support a polishing pad; a gutter disposed around the polishing platen; and a slurry¬ collecting line comprising a slurry-collecting tube coupled with a slurry-collecting valve, the slurry-collecting tube extending into the gutter, the slurry-collecting line being configured to remove slurries out of the gutter in a slurry-collecting mode and dispense a flushing agent in a flushing mode.
B24B 55/12 - Dispositifs d'évacuation du nuage d'huile ou de l'agent de refroidissementDispositifs pour collecter ou récupérer des matériaux issus du meulage ou du polissage, p. ex. métaux précieux, pierres précieuses, diamants ou similaires
B24B 57/02 - Dispositifs pour l'alimentation, l'application, le triage ou la récupération de produits de meulage, polissage ou rodage pour l'alimentation en produits de meulage, polissage ou rodage à l'état fluide, vaporisés, pulvérisés ou liquéfiés
The present disclosure relates to lift pins that facilitate uniformity, and related components, chamber kits, processing chambers, and methods for semiconductor manufacturing. In one or more embodiments, a lift pin for processing chambers includes a rod including a shaft section and a head section. The head section includes an opening formed in an outer edge of the head section and extending radially inwardly. The lift pin includes a pad sized and shaped to fit at least partially around the head section of the rod such that the head section of the rod is movable relative to the pad within a movement range.
H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p. ex. mandrins, pièces de serrage, pinces
A method of forming a portion of a gate-all-around field-effect transistor (GAA FET) includes forming placeholders, each interfacing with an extension region electrically isolated from replacement-metal-gate (RMG) stacks by inner spacers, in recesses formed within portions of a substrate isolated by shallow trench isolations (STIs), the recesses extending into a front inter-layer dielectric (ILD) formed on the substrate, removing the placeholders selectively to the substrate and the STIs, forming a cavity at an exposed surface of the extension region within each of the recesses, forming a contact layer within the cavity, forming an interface on the contact layer, and a contact metallization process to form a metal contact within each of the recesses, selectively etching the substrate against the RMG stacks and form ILD recesses between adjacent metal contacts, forming a dielectric liner surrounding the metal contacts, and forming a back ILD in each of the ILD recesses.
Described are methods for forming complementary field-effect transistor (CFET), or other vertically aligned semiconductor structures, utilizing a sequential self-aligning process. In one example, a method of forming a complementary field-effect transistor (CFET) is provide. The method includes replacing top sacrificial layers interleaved between channel layers in a top superlattice of a top device structure with top replacement metal gate layers, the top device structure disposed on a bottom device structure, the bottom device structure disposed on a first substrate layer; securing a second substrate layer to the top device structure and removing the first substrate layer from the bottom device structure; and replacing bottom sacrificial layers interleaved between channel layers in a bottom superlattice of the bottom device structure with bottom replacement metal gate layers.
Embodiments described herein generally relate to methods of post-treating a silicon-nitride (SiN)-based dielectric film formed on a surface of a substrate. The methods include positioning a substrate in a processing chamber. A dielectric precursor is supplied to the processing chamber. A plasma is provided to the processing chamber, in which the dielectric precursor reacts with a reactive gas in the plasma to form a silicon nitride (SiN)-based dielectric film on the substrate. A bias plasma is applied to the silicon nitride (SiN)-based dielectric film to form a condensed silicon nitride (SiN)-based dielectric film. The condensed dielectric film is cured
C23C 16/507 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence utilisant des électrodes externes, p. ex. dans des réacteurs de type tunnel
Embodiments disclosed herein relate to an apparatus that includes a chamber with an interior surface, and a sensor system coupled to the interior surface. In an embodiment, the sensor system includes a board, and a sensor antenna on the board. In an embodiment, a sensor is communicatively coupled to the sensor antenna, where the sensor is configured to be powered by the sensor antenna. In an embodiment, a chamber antenna is within the chamber, where the chamber antenna is configured to communicatively couple with the sensor antenna.
G08C 17/02 - Dispositions pour transmettre des signaux caractérisées par l'utilisation d'une voie électrique sans fil utilisant une voie radio
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
65.
MICRO-LED DISPLAY WITH REDUCED MOTION BLUR AND IMPROVED OPERATING LIFETIME
Embodiments of the present disclosure relate to μLED displays. The μLED display includes a backplane and backplane circuitry having pixel circuits for generating a pulse amplitude modulated driving current having a low duty ratio. An array of μLED dies is formed on the backplane and electrically integrated with the backplane circuitry. The μLED dies are controlled by the PAM driving current generated by the pixel circuits. A plurality of structures is coupled to the array of μLED dies and defines wells of a plurality of subpixels. A first color conversion layer is disposed in wells of first color subpixels of the plurality of subpixels, and a second color conversion layer disposed in wells of second color subpixels of the plurality of subpixels. The first and second color conversion layers convert excitation light emitted by μLED dies to emission light of a first and second color, respectively.
H10H 29/851 - Moyens de conversion de la longueur d’onde
H10H 29/34 - Affichages LED à matrice active caractérisés par la géométrie ou l’agencement des sous-pixels à l’intérieur d’un pixel, p. ex. la disposition relative des sous-pixels RGB
H10H 29/855 - Moyens de mise en forme du champ optique, p. ex. lentilles
G09G 3/32 - Dispositions ou circuits de commande présentant un intérêt uniquement pour l'affichage utilisant des moyens de visualisation autres que les tubes à rayons cathodiques pour la présentation d'un ensemble de plusieurs caractères, p. ex. d'une page, en composant l'ensemble par combinaison d'éléments individuels disposés en matrice utilisant des sources lumineuses commandées utilisant des panneaux électroluminescents semi-conducteurs, p. ex. utilisant des diodes électroluminescentes [LED]
66.
VOLUMETRIC EXPANSION DEPOSITION OF SILICON BASED DIELECTRIC FILM
The present disclosure provides methods of forming a film to fill a gap between two or more structures on a substrate. The methods include forming a precursor film by delivering a precursor to a substrate having a high aspect ratio opening defining a gap between two or more features on the substrate. An expansion film is formed by treating the precursor film with a plasma. An oxygen-containing compound is delivered to the expansion film to form an oxide gap fill material having a volume that is about 1.1 to about 2.0 greater than an expansion film.
C23C 16/04 - Revêtement de parties déterminées de la surface, p. ex. au moyen de masques
C23C 16/50 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement au moyen de décharges électriques
C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
67.
METHODS FOR DEPOSITING A TUNGSTEN-CONTAINING LAYER
The present disclosure provides substrate processing systems and methods thereof. The substrate processing system includes a processing chamber defining a processing volume. A precursor delivery system fluidly coupled to the processing chamber. The substrate processing system includes a controller having instructions stored thereon for performing a method of processing a plurality of substrates when executed by one or more processors. The method includes cleaning the processing chamber. The processing chamber is seasoned. The substrate is received into the processing volume of the processing chamber fluidly coupled to the precursor delivery system. A pre-treatment process is performed on the substrate within the processing chamber. A tungsten-containing layer is deposited onto the substrate.
C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
C23C 16/52 - Commande ou régulation du processus de dépôt
C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement
The present disclosure provides methods for processing a semiconductor device substrate. A nucleation layer is deposited on a surface of a feature formed in a surface of a substrate by a first deposition process. The first deposition process including flowing a molybdenum-containing precursor and a reducing agent precursor gas into a processing chamber at a first flow rate ratio of about 1x10"8 to about 2x10" 3 of molybdenum-containing precursor to reducing agent. At least a portion of the feature is filled with a molybdenum gap fill material by exposing the deposited nucleation layer feature to a second deposition process. The second deposition process including flowing the molybdenum-containing precursor and the reducing agent precursor gas into a processing chamber at a second flow rate ratio of about 2x10"5 to about 1x10"2 of molybdenum-containing precursor to reducing agent, wherein the second flow rate ratio is greater than the first flow rate ratio.
A method includes positioning a substrate within a processing chamber that comprises a feature formed within a dielectric layer formed over an underlayer, delivering an RF power to the processing chamber to generate a plasma over the substrate including: delivering a processing gas during a first time period, delivering a reactive gas into a flow of the processing gas during a second time period to form a pretreatment gas, delivering a deposition gas during a third time period, the deposition gas comprising a precursor gas and the pretreatment gas; and delivering a post-treatment gas during a fourth time period comprising halting the delivering of the precursor gas during the fourth time period, halting the delivering of the RF power and delivering the precursor gas into a flow of the post-treatment gas during a fifth time period, and purging the processing chamber during a sixth time period.
H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p. ex. condensation
C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement
C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
C23C 16/52 - Commande ou régulation du processus de dépôt
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
72.
SEQUENTIAL SELF-ALIGNING METHOD IN COMPLEMENTARY FIELD EFFECT TRANSISTOR DEVICES
Described are methods for forming complementary field-effect transistor (CFET), or other vertically aligned semiconductor structures, utilizing a sequential self-aligning process. In one example, a method of forming a complementary field-effect transistor (CFET) is provide. The method includes replacing top sacrificial layers interleaved between channel layers in a top superlattice of a top device structure with top replacement metal gate layers, the top device structure disposed on a bottom device structure, the bottom device structure disposed on a first substrate layer; securing a second substrate layer to the top device structure and removing the first substrate layer from the bottom device structure; and replacing bottom sacrificial layers interleaved between channel layers in a bottom superlattice of the bottom device structure with bottom replacement metal gate layers.
Methods and apparatus for delivering configurable pulsed voltage waveforms to an electrode for substrate processing. One example method generally includes applying a positive DC bias relative to ground to a first electrode disposed within a processing region of a processing chamber. The positive DC bias is configured to alter a plasma potential relative to ground of a plasma formed in the processing region of the processing chamber. The method also generally includes delivering a pulsed-voltage (PV) waveform to a second electrode disposed in a substrate support within the processing chamber. Amplitudes of pulses of the PV waveform extend from a positive voltage relative to ground to a negative voltage relative to ground. The positive voltage relative to ground is greater than the plasma potential relative to ground.
Embodiments of the present disclosure provide a system and method for constructing a heater assembly. The method includes forming a groove on a bottom surface of a heater plate, forming an opening through a sidewall of a heater shaft, bonding the heater shaft to the heater plate such that the opening through the sidewall of the heater shaft cooperates with the groove of the bottom surface of the heater plate to define a channel, and inserting a thermocouple into the opening formed through the sidewall of the heater shaft and into the groove of the heater plate.
H05B 3/24 - Éléments chauffants ayant une surface s'étendant essentiellement dans deux dimensions, p. ex. plaques chauffantes non flexibles le conducteur chauffant étant autoportant
G01K 1/14 - SupportsDispositifs de fixationDispositions pour le montage de thermomètres en des endroits particuliers
G01K 7/02 - Mesure de la température basée sur l'utilisation d'éléments électriques ou magnétiques directement sensibles à la chaleur utilisant des éléments thermo-électriques, p. ex. des thermocouples
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
H05B 3/06 - Éléments chauffants combinés constructivement avec des éléments d'accouplement ou avec des supports
75.
VARIABLY CHOKED PRESSURE RATE-OF-RISE MASS FLOW VERIFIER
A method includes opening a flow path from a gas stick through a variable orifice, a chamber, and an outlet isolation valve of the chamber. The method further includes causing a gas to flow through the flow path at a flow rate setpoint. The method further includes actuating an opening of the variable orifice to establish a choked pressure regime within the chamber, the choked pressure regime being achieved by causing a first pressure upstream of the variable orifice to be at least two times a second pressure downstream of the variable orifice. The method further includes closing the outlet isolation valve to cause the chamber to be filled with the gas from the gas stick. The method further includes measuring a pressure rate-of-rise within the chamber. The method further includes determining one or more flow measurements based at least in part on the pressure rate-of-rise.
G01F 1/37 - Mesure du débit volumétrique ou du débit massique d'un fluide ou d'un matériau solide fluent, dans laquelle le fluide passe à travers un compteur par un écoulement continu en utilisant des effets mécaniques en mesurant la pression ou la différence de pression la pression ou la différence de pression étant produite par une contraction de la veine fluide la pression ou la différence de pression étant mesurée au moyen de tubes ou de vases communiquants avec des niveaux variables de fluide, p. ex. tubes en U
G01L 13/04 - Dispositifs ou appareils pour la mesure des différences entre plusieurs valeurs de la pression des fluides en utilisant des flotteurs ou des liquides comme éléments sensibles
G01L 19/00 - Détails ou accessoires des appareils pour la mesure de la pression permanente ou quasi permanente d'un milieu fluent dans la mesure où ces détails ou accessoires ne sont pas particuliers à des types particuliers de manomètres
76.
EDDY CURRENT MONITORING TO DETECT VIBRATION IN POLISHING
A body is brought into contact with a polishing pad of a polishing system, a polishing liquid is supplied to the polishing pad, relative motion between the body and the polishing pad is generated while the body contacts the polishing pad, a signal from an in-situ eddy current monitoring system during the relative motion while the body contacts the polishing pad, generating, and mechanical vibrations in the polishing system are detected based on a signal from the in-situ eddy current monitoring system.
B24B 49/10 - Appareillage de mesure ou de calibrage pour la commande du mouvement d'avance de l'outil de meulage ou de la pièce à meulerAgencements de l'appareillage d'indication ou de mesure, p. ex. pour indiquer le début de l'opération de meulage impliquant des dispositifs électriques
B24B 37/005 - Moyens de commande pour machines ou dispositifs de rodage
B24B 37/26 - Tampons de rodage pour travailler les surfaces planes caractérisés par la forme ou le profil de la surface du tampon de rodage, p. ex. rainurée
A system is provided, wherein the system includes a remote plasma source, a process chamber, a pressure swing adsorption filter and a chemical adsorption filter. The pressure swing adsorption filter and the chemical adsorption filter the exhaust from the process chamber to produce a filtered exhaust being a fluorine rich gas stream.
Exemplary methods of forming a sintered semiconductor chamber component may include applying a binder solution and a ceramic-containing powder having a corrosion-resistant coating to a print bed to form a body of a semiconductor component. The methods may include sintering the body of the semiconductor component to form the semiconductor component from the ceramic-containing powder having the corrosion-resistant coating.
Embodiments disclosed herein include a method for treating a resist layer comprising a patterned feature with a chemical vapor deposition (CVD) process. In an embodiment, the CVD process reduces a dimension of the patterned feature, and the CVD process includes flowing a precursor gas into a chamber that infuses into the resist layer. In an embodiment, the method further comprises transferring the patterned feature into a layer below the resist layer.
There is provided a system and method of estimating edge roughness of a feature on a semiconductor specimen. The method includes obtaining a set of images capturing the feature and design data of the feature; providing, for each given image in the set, a target contour of the feature in the given image, giving rise to a set of target contours corresponding to the set of images, wherein the target contour is obtained by correcting an actual contour of the feature extracted from the given image, with respect to a transformation between the actual contour and a reference contour of the feature obtained from the design data; and generating power spectral density (PSD) data based on edge placement difference (EPD) between each target contour in the set of target contours and the reference contour, wherein the PSD data is usable for estimating edge roughness of the feature.
G06V 10/46 - Descripteurs pour la forme, descripteurs liés au contour ou aux points, p. ex. transformation de caractéristiques visuelles invariante à l’échelle [SIFT] ou sacs de mots [BoW]Caractéristiques régionales saillantes
G06V 10/98 - Détection ou correction d’erreurs, p. ex. en effectuant une deuxième exploration du motif ou par intervention humaineÉvaluation de la qualité des motifs acquis
81.
LOAD LOCK WITH DIAGNOSTIC AND REMEDIATION CAPABILITES
A load lock including a sensing and recovery subsystems to remediate a measured condition within the load lock. The sensing subsystem can use a variety of sensors to measure the conditions within a processing chamber, and a computing subsystem can selectively activate a the recovery subsystem or remediation subsystem of the recovery subsystem to remediate an aspect of the measured conditions, such as chamber contamination, as deemed necessary based on the measured conditions. The remediation subsystem can include several mechanisms, including a gas purge of the chamber. The overall system can work to regulate chamber contamination, wafer contamination, or chamber component integrity.
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
82.
CHAMBER LINERS AND CHAMBER KITS TO REDUCE EDGE ROLL OFF FOR PROCESSING CHAMBERS
The present disclosure relates to liners having flow openings, and related chamber kits, processing chambers, and methods for semiconductor manufacturing. In one or more embodiments, a liner for a processing chamber is provided. The liner includes an inner face, an outer face opposing the inner face, a first portion defining at least part of the outer face and the inner face, and a second portion defining at least part of the outer face and the inner face, the second portion opposing the first portion, and the second portion and the first portion having an azimuthal angle greater than 150 degrees. The liner further includes an gas inlet opening extending into the inner face and into the first portion, and a plurality of gas exhaust openings extending into the second portion. A profile of the gas exhaust openings extend into the first portion on opposing sides of the first portion.
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
83.
HIGH EFFICIENCY NONSYMMETRIC WAVEGUIDE WITH LOW TRANSMISSION COLOR SHIFT
A waveguide is provided in embodiments discussed herein. The waveguide further includes a transmission matching layer disposed on the waveguide substrate. The waveguide further includes a first grating material disposed over the transmission matching layer, and a second grating material disposed over the first grating material. The waveguide further includes a grating disposed in the second grating material and the first grating material such that grating structures of the grating include a first layer of the first grating material and a second layer of the second grating material. The second grating material has a second refractive index that is greater than a first refractive index of the first grating material. The waveguide further includes a color shift layer disposed over the grating.
G02B 6/00 - Guides de lumièreDétails de structure de dispositions comprenant des guides de lumière et d'autres éléments optiques, p. ex. des moyens de couplage
Methods and devices for automatically conditioning a processing chamber are disclosed herein. Embodiments include determining a high chamber temperature value and a low chamber temperature value based on measuring temperatures associated with the chamber, wherein: the high chamber temperature value is determined based on detecting a peak temperature value associated with the chamber during substrate processing; and the low chamber temperature value is determined based on detecting a minimum temperature value associated with the chamber during the substrate processing. Embodiments further include heating the chamber until a detected temperature associated with the chamber reaches the high chamber temperature value. Embodiments further include cooling the chamber until a corresponding detected temperature associated with the chamber reaches the low chamber temperature value.
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
85.
SELECTIVE CHEMICAL METHOD FOR CONTACT HOLE SHRINKING
Embodiments disclosed herein include a method for treating a resist layer comprising a patterned feature with a chemical vapor deposition (CVD) process. In an embodiment, the CVD process reduces a dimension of the patterned feature, and the CVD process includes flowing a precursor gas into a chamber that infuses into the resist layer. In an embodiment, the method further comprises transferring the patterned feature into a layer below the resist layer.
H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
86.
HEATED LID RING FOR CHAMBER WALL TEMPERATURE CONTROL
Embodiments of lid assemblies for a process chamber are provided herein. In some embodiments, a lid assembly for a process chamber includes: a dielectric lid plate coupled to a first heater having one or more resistive heating elements configured to heat the dielectric lid plate; and a lid ring disposed about the dielectric lid plate and configured to hold the dielectric lid plate, wherein the lid ring includes a first heater ring disposed at an inner end of the lid ring and about the dielectric lid plate that includes a second heater comprising one or more resistive heating elements, and wherein a radially inner surface of the first heater ring is spaced from an opposing radially outer surface of the dielectric lid plate so that the first heater ring is not in direct contact with the dielectric lid plate.
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p. ex. mandrins, pièces de serrage, pinces
87.
METHODS FOR IMPROVING THROUGHPUT AND GAPFILL QUALITY FOR METAL DEPOSITION
A metal deposition method including exposing a substrate surface having at least one feature thereon to one or more deposition cycle, each deposition cycle including a metal precursor exposure portion and a reducing agent exposure portion, the metal precursor exposure portion including a flow of a metal precursor and a pulsed low-power RF plasma having a pulsed RF power of 100 W or less, the reducing agent exposure portion including a flow of a reducing agent and a high-power plasma having an RF power of 300 W or higher.
C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
C23C 16/04 - Revêtement de parties déterminées de la surface, p. ex. au moyen de masques
C23C 16/08 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le dépôt d'un matériau métallique à partir d'halogénures métalliques
C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence
88.
METHODS FOR FORMING STAIRCASE AND BLAZED GRATING STRUCTURES USING NANOIMPRINT LITHOGRAPHY
Embodiments described herein provide for methods of forming optical device structures. The methods relate to forming staircase or blazed angle grating structures using nanoimprint lithography (NIL) in combination with other forming techniques. In certain embodiment, the methods provide for forming staircase or blazed angle grating structures for optical devices without the need for multiple repeated lithographic patterning and etch processes.
G02B 6/00 - Guides de lumièreDétails de structure de dispositions comprenant des guides de lumière et d'autres éléments optiques, p. ex. des moyens de couplage
A method includes receiving a substrate in a process volume, the substrate comprising structures thereon having varying critical dimensions. A plasma is formed in a process volume. A top surface of the structures is chemically modified with an inert termination group using the plasma. Subsequent to modifying the top surface of the structures, a dielectric film is deposited over a surface of the substrate. The dielectric film is deposited in a trench between adjacent structures.
A method includes: performing auto-classification of fluids to be used in a substrate processing system; identifying portions of the substrate processing system; performing positional awareness of the fluids associated with one or more of the portions of the substrate processing system; and causing substrate processing via substrate processing equipment based on the auto-classification, the portions of the substrate processing system, and the positional awareness.
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
91.
MICROWAVE APPARATUS FOR DUAL MODE OPERATION AND METHODS OF USE
Semiconductor manufacturing processing chambers with dual mode microwave sources and methods of use are described. The methods comprise removing carbon residue from a substrate surface by exposing the substrate surface located adjacent to a first side of a permeable barrier to a microwave plasma generated by a microwave source located adjacent to a second side of the permeable barrier. Metal oxides are reduced from a substrate surface by exposing the substrate surface to microwave radiation from the microwave source through the permeable barrier without generating a plasma.
Methods and devices for automatically conditioning a processing chamber are disclosed herein. Embodiments include determining a high chamber temperature value and a low chamber temperature value based on measuring temperatures associated with the chamber, wherein: the high chamber temperature value is determined based on detecting a peak temperature value associated with the chamber during substrate processing; and the low chamber temperature value is determined based on detecting a minimum temperature value associated with the chamber during the substrate processing. Embodiments further include heating the chamber until a detected temperature associated with the chamber reaches the high chamber temperature value. Embodiments further include cooling the chamber until a corresponding detected temperature associated with the chamber reaches the low chamber temperature value.
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
G05B 13/02 - Systèmes de commande adaptatifs, c.-à-d. systèmes se réglant eux-mêmes automatiquement pour obtenir un rendement optimal suivant un critère prédéterminé électriques
Embodiments described herein generally relate to methods of post-treating a silicon-nitride (SiN)-based dielectric film formed on a surface of a substrate. The methods include positioning a substrate in a processing chamber. A dielectric precursor is supplied to the processing chamber. A plasma is provided to the processing chamber, in which the dielectric precursor reacts with a reactive gas in the plasma to form a silicon nitride (SiN)-based dielectric film on the substrate. A bias plasma is applied to the silicon nitride (SiN)-based dielectric film to form a condensed silicon nitride (SiN)-based dielectric film. The condensed dielectric film is cured
C23C 16/515 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges pulsées
A system is disclosed, including a vacuum a chuck configured to secure a substrate. The system further includes a substrate flattening unit configured to apply a downward force to a top surface of the substrate to flatten the substrate on the vacuum chuck. The system further includes one or more sealing members configured to form a vacuum seal between the vacuum chuck and the substrate proximate to one or more peripheral edges of the substrate when the substrate is flattened by the substrate flattening unit.
H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
B25B 11/00 - Porte-pièces ou dispositifs de mise en position non couverts par l'un des groupes , p. ex. porte-pièces magnétiques, porte-pièces utilisant le vide
Exemplary semiconductor processing methods may include providing a hydrogen-containing precursor and a nitrogen-containing precursor to a processing region of a semiconductor processing chamber. A substrate may be disposed within the processing region. The substrate may include a layer of a silicon-containing material. The methods may include forming plasma effluents of the hydrogen-containing precursor and the nitrogen-containing precursor. The methods may include contacting the substrate with plasma effluents of the hydrogen-containing precursor and the nitrogen-containing precursor. The contacting may reduce a carbon concentration, a nitrogen concentration, or both in a portion of the layer of the silicon-containing material. The methods may include providing one or more etchant precursors to the processing region. The methods may include contacting the substrate with the one or more etchant precursors. The contacting may remove the portion of the layer of the silicon-containing material.
H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
96.
VOLUMETRIC EXPANSION DEPOSITION OF SILICON BASED DIELECTRIC FILM
The present disclosure provides methods. The methods include forming a precursor film by delivering a precursor to a substrate in a processing chamber having a high aspect ratio opening defining a gap between two or more features of the substrate. An expansion film is formed by treating the precursor film with a plasma. An oxygen-containing compound is delivered to the expansion film to form an oxide gap fill material having a volume that is about 1.1 to about 2.0 greater than an expansion film.
A load lock including sensing and recovery subsystems to remediate a measured condition within the load lock. The sensing subsystem can use a variety of sensors to measure the conditions within a processing chamber, and a computing subsystem can selectively activate a the recovery subsystem or remediation subsystem of the recovery subsystem to remediate an aspect of the measured conditions, such as chamber contamination, as deemed necessary based on the measured conditions. The remediation subsystem can include several mechanisms, including a gas purge of the chamber. The overall system can work to regulate chamber contamination, wafer contamination, or chamber component integrity.
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
G05D 16/20 - Commande de la pression d'un fluide caractérisée par l'utilisation de moyens électriques
A method includes: performing auto-classification of fluids to be used in a substrate processing system; identifying portions of the substrate processing system; performing positional awareness of the fluids associated with one or more of the portions of the substrate processing system; and causing substrate processing via substrate processing equipment based on the auto-classification, the portions of the substrate processing system, and the positional awareness.
C23C 16/52 - Commande ou régulation du processus de dépôt
C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
A polishing system includes a platen to hold a polishing pad, a carrier head to hold a substrate against the polishing pad, a conditioner including a conditioner head to hold a conditioner disk against the polishing pad, a motor to move the conditioner head laterally movable relative to the platen, a conditioning disk cleaning station positioned adjacent the platen to clean the conditioning disk, and a controller configured to cause the motor to, during polishing of the substrate, move the conditioner head back and forth between a first position with the conditioner head over the polishing pad and a second position with the conditioner head in the conditioner disk cleaning station.
A ceramic coating is deposited onto at least one surface of a chamber component for a process chamber using a cold spray deposition process. The cold spray deposition process propels agglomerates of nanoparticles of a ceramic and deposits the agglomerated nanoparticles of the ceramic onto the at least one surface to form the ceramic coating without causing the particles to undergo a phase change.