Tokyo Electron America, Inc.

États‑Unis d’Amérique

Retour au propriétaire

1-54 de 54 pour Tokyo Electron America, Inc. Trier par
Recheche Texte
Affiner par
Classe IPC
C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction 8
H01L 21/00 - Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de dispositifs à semi-conducteurs ou de dispositifs à l'état solide, ou bien de leurs parties constitutives 7
H01L 21/314 - Couches inorganiques 6
C23C 16/448 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour produire des courants de gaz réactifs, p. ex. par évaporation ou par sublimation de matériaux précurseurs 5
G05B 19/418 - Commande totale d'usine, c.-à-d. commande centralisée de plusieurs machines, p. ex. commande numérique directe ou distribuée [DNC], systèmes d'ateliers flexibles [FMS], systèmes de fabrication intégrés [IMS], productique [CIM] 4
Voir plus
Résultats pour  brevets

1.

METHOD OF FORMING METAL CARBIDE BARRIER LAYERS FOR FLUOROCARBON FILMS

      
Numéro d'application US2012055608
Numéro de publication 2013/043512
Statut Délivré - en vigueur
Date de dépôt 2012-09-14
Date de publication 2013-03-28
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC (USA)
Inventeur(s) Kikuchi, Yoshiyuki

Abrégé

A method of forming metal carbide barrier layers for fluorocarbon films in semiconductor devices is described. The method includes depositing a fluorocarbon film on a substrate and depositing a metal-containing layer on the fluorocarbon film at a first temperature, where the metal-containing layer reacts with the fluorocarbon film to form a metal fluoride layer at an interface between the metal-containing layer and the fluorocarbon film. The method further includes heat-treating the metal-containing layer at a second temperature that is greater than the first temperature, wherein the heat-treating the metal-containing layer removes fluorine from the metal fluoride layer by diffusion through the metal-containing layer and forms a metal carbide layer barrier layer at the interface between the metal-containing layer and the fluorocarbon film, and wherein the metal-containing layer survives the heat- treating at the second temperature without blistering or pealing.

Classes IPC  ?

  • H01L 21/469 - Traitement de corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes pour changer les caractéristiques physiques ou la forme de leur surface, p. ex. gravure, polissage, découpage pour y former des couches isolantes, p. ex. pour masquer ou en utilisant des techniques photolithographiquesPost-traitement de ces couches

2.

METHOD OF SLIMMING RADIATION-SENSITIVE MATERIAL LINES IN LITHOGRAPHIC APPLICATIONS

      
Numéro d'application US2012029905
Numéro de publication 2012/134910
Statut Délivré - en vigueur
Date de dépôt 2012-03-21
Date de publication 2012-10-04
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s)
  • Carcasi, Michael, A.
  • Rathsack, Benjamin, M.
  • Somervell, Mark, H.

Abrégé

A method and system for patterning a substrate (101, 201, 301, 401 ) using a radiation-sensitive material (102, 202, 302, 402) is described. The method and system include forming a layer of radiation-sensitive material (102, 202, 302, 402) on a substrate (101, 201, 301, 401 ), exposing the layer of radiation-sensitive material (102, 202, 302, 402) to a pattern of radiation (107, 207, 307, 407), and then performing a post-exposure bake following the exposing. The imaged layer of radiation-sensitive material (101, 201, 301, 401 ) is then developed to remove either a region (105, 205,312, 412) having high radiation exposure or a region (106, 206, 313, 413) having low radiation exposure to form radiation-sensitive material lines. An exposure gradient within the radiation-sensitive material lines is then removed, followed by slimming the radiation-sensitive material lines.

Classes IPC  ?

  • G03F 7/20 - ExpositionAppareillages à cet effet
  • G03F 7/32 - Compositions liquides à cet effet, p. ex. développateurs
  • G03F 7/40 - Traitement après le dépouillement selon l'image, p. ex. émaillage

3.

METHOD FOR MODIFYING METAL CAP LAYERS IN SEMICONDUCTOR DEVICES

      
Numéro d'application US2012031005
Numéro de publication 2012/135379
Statut Délivré - en vigueur
Date de dépôt 2012-03-28
Date de publication 2012-10-04
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s) Kazuhito, Tohnoe

Abrégé

A method for forming a semiconductor device with improved electromigration (EM) and stress migration (SM) properties. The method includes providing a planarized patterned substrate containing a copper (Cu) metal surface and a low-k dielectric layer surface, selectively depositing a metal cap layer on the Cu metal surface, and modifying the metal cap layer by exposing the metal cap layer to a process gas containing ammonia (NH3) gas without plasma excitation. The method further includes forming a dielectric barrier film on the modified metal cap layer and on the dielectric layer surface, and exposing the dielectric barrier film to a gaseous oxidizing environment, where the dielectric barrier film and the modified metal cap layer prevent oxidation of the Cu metal surface when the dielectric barrier film is exposed to the gaseous oxidizing environment.

Classes IPC  ?

  • H01L 21/4763 - Dépôt de couches non isolantes, p. ex. conductrices, résistives sur des couches isolantesPost-traitement de ces couches

4.

METHOD FOR CONTROLLING DANGLING BONDS IN FLUOROCARBON FILMS

      
Numéro d'application US2012029550
Numéro de publication 2012/129122
Statut Délivré - en vigueur
Date de dépôt 2012-03-16
Date de publication 2012-09-27
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s) Kokuchi, Yoshiyuki

Abrégé

Embodiments of the invention describe a method for forming fluorocarbon films for semiconductor devices. The method includes providing a substrate on a substrate holder in a plasma processing chamber, introducing in the plasma processing chamber a first process gas containing a CaFb gas, forming a first plasma from the first process gas by applying a first RF bias and a first positive DC bias to the substrate holder, and depositing a first fluorocarbon film on the substrate by the first plasma. The method further includes introducing in the plasma processing chamber a second process gas containing a CaFb gas, forming a second plasma from the second process gas by applying microwave power to the microwave antenna, and applying second RF bias and a second positive DC bias to the substrate holder, and depositing a second fluorocarbon film on the first fluorocarbon film by the second plasma.

Classes IPC  ?

  • H01L 21/263 - Bombardement par des radiations ondulatoires ou corpusculaires par des radiations d'énergie élevée
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif

5.

METHOD FOR PATTERNING A FULL METAL GATE STRUCTURE

      
Numéro d'application US2012028904
Numéro de publication 2012/129005
Statut Délivré - en vigueur
Date de dépôt 2012-03-13
Date de publication 2012-09-27
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s)
  • Luong, Vihn, Hoang
  • Ko, Akiteru

Abrégé

A method of patterning a gate structure (100, 100', 200) on a substrate (25, 105, 210) is described. The method includes preparing a metal gate structure (100, 100', 200) on a substrate (25, 105, 210), wherein the metal gate structure (100, 100', 200) includes a high dielectric constant (high-k) layer (230), a first gate layer (120, 240) formed on the high-k layer (230), and a second gate layer (130, 250) formed on the first gate layer (120, 240), and wherein the first gate layer (120, 240) comprises one or more metal-containing layers (240A, 240B). The method further includes preparing a mask layer (260, 270) with a pattern overlying the metal gate structure (100, 100', 200), transferring the pattern to the second gate layer (130, 250), transferring the pattern to the first gate layer (120, 240), and transferring the pattern in the first gate layer (120, 240) to the high-k layer (230), and prior to the transferring of the pattern to the high-k layer (230), passivating an exposed surface (245) of the first gate layer (120, 240) using a nitrogen-containing and/or carbon-containing environment to reduce under-cutting (140, 140') of the first gate layer (120, 240) relative to the second gate layer (130, 250), wherein the passivating is performed separately from or in addition to the transferring of the pattern to the first gate layer (120, 240).

Classes IPC  ?

  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/3213 - Gravure physique ou chimique des couches, p. ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable

6.

ETCH PROCESS FOR CONTROLLING PATTERN CD AND INTEGRITY IN MULTI-LAYER MASKS

      
Numéro d'application US2012029767
Numéro de publication 2012/129209
Statut Délivré - en vigueur
Date de dépôt 2012-03-20
Date de publication 2012-09-27
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s)
  • Luong, Vinh, Hoang
  • Ko, Akiteru

Abrégé

A method of patterning a multi-layer mask (150, 150', 220) is described. The method includes preparing a multi-layer mask (150, 150', 220) on a substrate (1 10, 1 10', 200), wherein the multi-layer mask (150, 150', 220) includes a lithographic layer (226) and an intermediate mask layer (222) underlying the lithographic layer (226), and wherein the intermediate mask layer (222) comprises a carbon-containing compound. The method further includes: establishing an etch process recipe for transferring a pattern (230), that is formed in the lithographic layer (226) and characterized by an initial pattern critical dimension (CD) (152, 152', 232, 232'), to the intermediate mask layer (222); establishing at least one parametric relationship between an intermediate pattern CD (154, 154', 252, 252', 262, 262', 272, 272') to be formed in the intermediate mask layer (222) and at least one process parameter, wherein the at least one parametric relationship provides process conditions capable of increasing and decreasing the initial pattern CD (152, 152', 232, 232') to the intermediate pattern CD (154, 154', 252, 252', 262, 262', 272, 272'); selecting a target process condition to achieve a target CD adjustment between the initial pattern CD (152, 152', 232, 232') and the intermediate pattern CD (154, 154', 252, 252', 262, 262', 272, 272'); and transferring the pattern from the lithographic layer (226) to the intermediate mask layer (222) using the target process condition.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques

7.

METHOD OF ETCHING SILICON NITRIDE FILMS

      
Numéro d'application US2012027632
Numéro de publication 2012/122064
Statut Délivré - en vigueur
Date de dépôt 2012-03-03
Date de publication 2012-09-13
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s) Nishizuka, Tetsuya

Abrégé

A processing method is provided for plasma etching features in a silicon nitride (SiN) film covered by a mask pattern. The method includes providing a film stack on a substrate, the film stack containing a SiN film on the substrate and a mask pattern on the SiN film, transferring the mask pattern to the SiN film by exposing the film stack to a first plasma containing a carbon-fluorine-containing gas, O2 gas, and optionally HBr gas, and exposing the film stack to a second plasma containing a carbon-fluorine- containing gas, O2 gas, a silicon-fluorine-containing gas, and optionally HBr gas.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasmaGravure au moyen d'ions réactifs

8.

METHOD OF OPERATING FILAMENT ASSISTED CHEMICAL VAPOR DEPOSITION SYSTEM

      
Numéro d'application US2012024045
Numéro de publication 2012/112334
Statut Délivré - en vigueur
Date de dépôt 2012-02-07
Date de publication 2012-08-23
Propriétaire
  • TOKYO ELECTRON AMERICA, INC. (USA)
  • TOKYO ELECTRON LIMITED (Japon)
Inventeur(s)
  • Lee, Eric, M.
  • Faguet, Jacques

Abrégé

A method of performing a filament-assisted chemical vapor deposition process is described. The method includes providing a substrate holder (220, 320, 420, 1020) in a process chamber (410) of a chemical vapor deposition system (400, 600, 1001, 2001), providing a non-ionizing heat source separate from the substrate holder (220, 320, 420, 1020) in the process chamber (410), disposing a substrate (225, 425, 1025) on the substrate holder (220, 320, 420, 1020), introducing a film forming composition (532) to the process chamber (410), thermally fragmenting the film forming composition (532) using the non-ionizing heat source, and forming a thin film on the substrate (225, 425, 1025) in the process chamber (410). The non-ionizing heat source includes a gas heating device (250, 445, 550, 645, 750, 800, 900, 1045, 2045) through and/or over which the film forming composition (532) flows. The method further includes remotely producing a reactive composition, and introducing the reactive composition to the process chamber (410) to interact with the substrate (225, 425, 1025), wherein the reactive composition is introduced sequentially and/or simultaneously with the introducing the film forming composition (532).

Classes IPC  ?

  • C23C 16/02 - Pré-traitement du matériau à revêtir
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement
  • C23C 16/452 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour produire des courants de gaz réactifs, p. ex. par évaporation ou par sublimation de matériaux précurseurs par activation de courants de gaz réactifs avant l'introduction dans la chambre de réaction, p. ex. par ionisation ou par addition d'espèces réactives
  • C23C 16/46 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour le chauffage du substrat
  • C23C 16/56 - Post-traitement
  • B05D 3/00 - Traitement préalable des surfaces sur lesquelles des liquides ou d'autres matériaux fluides doivent être appliquésTraitement ultérieur des revêtements appliqués, p. ex. traitement intermédiaire d'un revêtement déjà appliqué, pour préparer les applications ultérieures de liquides ou d'autres matériaux fluides

9.

METHOD OF ETCHING FEATURES IN SILICON NITRIDE FILMS

      
Numéro d'application US2012024017
Numéro de publication 2012/109159
Statut Délivré - en vigueur
Date de dépôt 2012-02-06
Date de publication 2012-08-16
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s) Nishizuka, Tetsuya

Abrégé

A processing method is provided for plasma etching features in a silicon nitride (SiN) film covered by a mask pattern. The method includes preparing a film stack on a substrate, the film stack containing a SiN film on the substrate and a mask pattern on the SiN film, forming a plasma from a process gas containing HBr gas, O2 gas, and a carbon-fluorine-containing gas, applying pulsed RF bias power to the substrate, and transferring the mask pattern to the SiN film by exposing the film stack to the plasma.

Classes IPC  ?

  • H01L 21/306 - Traitement chimique ou électrique, p. ex. gravure électrolytique
  • H01L 21/00 - Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de dispositifs à semi-conducteurs ou de dispositifs à l'état solide, ou bien de leurs parties constitutives

10.

DOUBLE PATTERNING WITH INLINE CRITICAL DIMENSION SLIMMING

      
Numéro d'application US2011060386
Numéro de publication 2012/071193
Statut Délivré - en vigueur
Date de dépôt 2011-11-11
Date de publication 2012-05-31
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s)
  • Dunn, Shannon, W.
  • Hetzer, Dave

Abrégé

A method for double patterning a substrate (110, 310) is described. The double patterning method may include a litho/freeze/litho/etch (LFLE) technique that includes a first (critical dimension) CD slimming process to reduce the first CD (124, 325) to a first reduced CD (126, 326) and a second CD slimming process to reduce the second CD (144, 326) to a second reduced CD (146, 335).

Classes IPC  ?

  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

11.

SIDEWALL IMAGE TRANSFER PITCH DOUBLING AND INLINE CRITICAL DIMENSION SLIMMING

      
Numéro d'application US2011060381
Numéro de publication 2012/071192
Statut Délivré - en vigueur
Date de dépôt 2011-11-11
Date de publication 2012-05-31
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s)
  • Dunn, Shannon, W.
  • Hetzer, Dave

Abrégé

A method for patterning a substrate (110, 310) is described. The patterning method may include performing a lithographic process to produce a pattern (122, 142, 321) and a critical dimension (CD) slimming process to reduce a CD (124, 144, 325) in the pattern (122, 142, 321) to a reduced CD (126, 146, 335). Thereafter, the pattern is doubled to produce a double pattern using a sidewall image transfer technique.

Classes IPC  ?

  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques

12.

METHOD OF DEPOSITING DIELECTRIC FILMS USING MICROWAVE PLASMA

      
Numéro d'application US2011058283
Numéro de publication 2012/061232
Statut Délivré - en vigueur
Date de dépôt 2011-10-28
Date de publication 2012-05-10
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s) Takaba, Hiroyuki

Abrégé

Embodiments of the invention describe a method for forming dielectric films for semiconductor devices. The method includes providing a substrate in a process chamber containing a microwave plasma source, introducing into the process chamber a non-metal-containing process gas including a deposition gas having a carbon-nitrogen intermolecular bond, forming a plasma from the process gas, and exposing the substrate to the plasma to deposit carbon-nitrogen-containing film on the substrate. In some embodiments, the carbon-nitrogen-containing film can include a CN film, a CNO film, a Si-doped CN film, or a Si-doped CNO film.

Classes IPC  ?

  • H01L 21/20 - Dépôt de matériaux semi-conducteurs sur un substrat, p. ex. croissance épitaxiale
  • H01L 21/44 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes

13.

SELECTIVE ETCH PROCESS FOR SILICON NITRIDE

      
Numéro d'application US2011051379
Numéro de publication 2012/047459
Statut Délivré - en vigueur
Date de dépôt 2011-09-13
Date de publication 2012-04-12
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s)
  • Metz, Andrew, W.
  • Cottle, Hongyun

Abrégé

A method for selectively etching a substrate (25, 140, 150, 300, 310, 510) is described. The method includes preparing a substrate (25, 140, 150, 300, 310, 510) comprising a silicon nitride layer (180, 320) overlying a silicon-containing contact region (172, 343), and patterning the silicon nitride layer (180, 320) to expose the silicon-containing contact region (172, 343) using a plasma etching process in a plasma etching system (1, 1 a, 1 b, 1 c, 1 c', 1 d, 1 e, 1f). The plasma etching process uses a process composition having as incipient ingredients a process gas containing C, H and F, and a non-oxygen-containing additive gas, wherein the non-oxygen- containing additive gas includes H, or C, or both H and C, and excludes a halogen atom.

Classes IPC  ?

14.

METHOD FOR HIGH ASPECT RATIO PATTERNING IN SPIN-ON LAYER

      
Numéro d'application US2011047541
Numéro de publication 2012/024178
Statut Délivré - en vigueur
Date de dépôt 2011-08-12
Date de publication 2012-02-23
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s) Metz, Andrew, W.

Abrégé

A method of patterning a substrate (110) is described. The method includes preparing a film stack on a substrate (110), wherein the film stack comprises a spin-on layer (120), and heating the spin-on layer (120) to a cure temperature less than a thermal decomposition temperature of the spin-on layer (120) and exceeding about 200 degrees C to increase mechanical strength of the spin-on layer (120). The method further includes forming a feature pattern (105) without pattern collapse in the spin-on layer (120), wherein the feature pattern (105) is characterized by a critical dimension (155) less than 35nm (nanometers) and an aspect ratio relating a height (150) of the feature pattern (1 05) to the critical dimension (155) exceeding 5:1.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p. ex. photolithographique, de surfaces texturées, p. ex. surfaces impriméesMatériaux à cet effet, p. ex. comportant des photoréservesAppareillages spécialement adaptés à cet effet
  • G03F 7/38 - Traitement avant le dépouillement selon l'image, p. ex. préchauffage

15.

METHOD OF SELECTIVELY ETCHING AN INSULATION STACK FOR A METAL INTERCONNECT

      
Numéro d'application US2011039224
Numéro de publication 2011/156253
Statut Délivré - en vigueur
Date de dépôt 2011-06-06
Date de publication 2011-12-15
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s) Zin, Kelvin

Abrégé

A method of patterning an insulation layer is described. The method includes preparing a film stack on a substrate, wherein the film stack comprises a cap layer, a SiCOH-containing layer overlying the cap layer, and a hard mask overlying the SiCOH-containing layer. The method further includes transferring a pattern through the film stack by performing a series of etch processes in a plasma etching system, wherein the series of etch processes utilize a temperature controlled substrate holder in the plasma etching system according to a substrate temperature control scheme that achieves etch selectivity between the SiCOH-containing layer and the underlying cap layer.

Classes IPC  ?

16.

METHOD OF SLIMMING RADIATION-SENSITIVE MATERIAL LINES IN LITHOGRAPHIC APPLICATIONS

      
Numéro d'application US2011030299
Numéro de publication 2011/123433
Statut Délivré - en vigueur
Date de dépôt 2011-03-29
Date de publication 2011-10-06
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s)
  • Carcasi, Michael, A.
  • Rathsack, Benjamin, M.
  • Somervell, Mark, H.

Abrégé

A method and system for patterning a substrate using a radiation-sensitive material is described. The method (500) and system include forming a layer of radiation-sensitive material on a substrate (510), exposing the layer of radiation- sensitive material to a pattern of radiation (520), and then performing a post-exposure bake following the exposing (530). The imaged layer of radiation-sensitive material is then positive-tone developed to remove a region having high radiation exposure to form radiation-sensitive material lines (540). An exposure gradient within the radiation-sensitive material lines is then removed (550), followed by slimming the radiation-sensitive material lines (560).

Classes IPC  ?

  • G03F 7/40 - Traitement après le dépouillement selon l'image, p. ex. émaillage
  • G03F 7/20 - ExpositionAppareillages à cet effet
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

17.

METHOD FOR REWORKING A SILICON-CONTAINING ARC LAYER ON A SUBSTRATE

      
Numéro d'application US2010050416
Numéro de publication 2011/041268
Statut Délivré - en vigueur
Date de dépôt 2010-09-27
Date de publication 2011-04-07
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s) Fitrianto

Abrégé

A method is provided for reworking film structures containing silicon-containing anti- reflective coating (SiARC) layers in semiconductor device manufacturing. The method includes providing a substrate containing a film stack that includes SiARC layer thereon, and a resist pattern formed on the SiARC layer. The method further includes removing the resist pattern from the SiARC layer, exposing the SiARC layer to process gas containing ozone (O3) gas to modify the SiARC layer, treating the modified SiARC layer with a dilute hydrofluoric acid (DHF) liquid, and centrifugally removing the modified SiARC layer from the substrate.

Classes IPC  ?

  • H01L 21/3205 - Dépôt de couches non isolantes, p. ex. conductrices ou résistives, sur des couches isolantesPost-traitement de ces couches
  • H01L 21/306 - Traitement chimique ou électrique, p. ex. gravure électrolytique

18.

METHOD FOR FORMING ALUMINUM-DOPED METAL CARBONITRIDE GATE ELECTRODES

      
Numéro d'application US2009054707
Numéro de publication 2010/027715
Statut Délivré - en vigueur
Date de dépôt 2009-08-22
Date de publication 2010-03-11
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s)
  • Hasegawa, Toshio
  • Leusink, Gerrit, J.

Abrégé

A method for forming an aluminum-doped metal (tantalum or titanium) carbonitride gate electrode for a semiconductor device is described. The method includes providing a substrate containing a dielectric layer thereon, and forming the gate electrode on the dielectric layer in the absence of plasma. The gate electrode is formed by depositing a metal carbonitride film, and adsorbing an atomic layer of an aluminum precursor on the metal carbonitride film. The steps of depositing and adsorbing may be repeated a desired number of times until the aluminum-doped metal carbonitride gate electrode has a desired thickness.

Classes IPC  ?

  • H01L 21/337 - Transistors à effet de champ à jonction PN
  • H01L 29/808 - Transistors à effet de champ l'effet de champ étant produit par une jonction PN ou une autre jonction redresseuse à jonction PN

19.

ELECTRICAL CONTACTS FOR INTEGRATED CIRCUITS AND METHODS OF FORMING USING GAS CLUSTER ION BEAM PROCESSING

      
Numéro d'application US2008076606
Numéro de publication 2009/042458
Statut Délivré - en vigueur
Date de dépôt 2008-09-17
Date de publication 2009-04-02
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA. INC. (USA)
Inventeur(s)
  • Robison, Rodney, L.
  • Trickett, Douglas

Abrégé

Embodiments of the invention describe electrical contacts for integrated circuits and methods of forming using gas cluster ion beam (GCIB) processing. The electrical contacts contain a fused metal-containing layer (427) formed by exposing a patterned structure to a gas cluster ion beam (407) containing a transition metal precursor or a rare earth metal precursor.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif

20.

APPARATUS AND METHODS OF FORMING A GAS CLUSTER ION BEAM USING A LOW-PRESSURE SOURCE

      
Numéro d'application US2008057627
Numéro de publication 2008/118738
Statut Délivré - en vigueur
Date de dépôt 2008-03-20
Date de publication 2008-10-02
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s) Lane, Scott

Abrégé

Embodiments of a gas cluster ion beam apparatus (100) and methods for forming a gas cluster ion beam (128) using a low-pressure process source (535) are generally described herein. In one embodiment, the low-pressure process source (535) is mixed with a high-pressure diluent source (512) in a static pump (500) to form a mixed source, from which a gas cluster jet (118) is generated and ionized to form the gas cluster ion beam. Other embodiments may be described and claimed.

Classes IPC  ?

  • H01J 37/08 - Sources d'ionsCanons à ions
  • H01J 37/305 - Tubes à faisceau électronique ou ionique destinés aux traitements localisés d'objets pour couler, fondre, évaporer ou décaper
  • H01J 37/317 - Tubes à faisceau électronique ou ionique destinés aux traitements localisés d'objets pour modifier les propriétés des objets ou pour leur appliquer des revêtements en couche mince, p. ex. implantation d'ions

21.

DYNAMIC TEMPERATURE BACKSIDE GAS CONTROL FOR IMPROVED WITHIN-SUBSTRATE PROCESSING UNIFORMITY

      
Numéro d'application US2008056478
Numéro de publication 2008/112673
Statut Délivré - en vigueur
Date de dépôt 2008-03-11
Date de publication 2008-09-18
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s)
  • Sundararajan, Radha
  • Chen, Lee
  • Funk, Merritt

Abrégé

Control of radial or non-radial temperature distribution is controlled across a substrate during processing to compensate for non-uniform effects, including non-uniformities arising from system or process. Temperature is controlled, preferably dynamically, by flowing backside gas differently across different areas on a wafer supporting chuck (substrate support table 20, 20a) to vary heat conduction across the wafer. Ports (26, 26a) in the support table (20, 20a) are grouped, and gas to or from the groups is separately controlled by different valves (32) responsive to a controller (35) that controls gas pressure in each of the areas to spatially and preferably dynamically control wafer temperature to compensate for system and process non-uniformities. Wafer deformation is affected by separately controlling the pressure of the backside gas at different ports (26, 26a) to control the local force exerted on the backside of the substrate, by separately dynamically controlling valves (32) affecting gas flow to a port (26, 26a) and ports (26, 26a) surrounding said port (26, 26a).

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p. ex. mandrins, pièces de serrage, pinces
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction

22.

PROCESSING SYSTEM AND METHOD FOR PERFORMING HIGH THROUGHPUT NON-PLASMA PROCESSING

      
Numéro d'application US2008055623
Numéro de publication 2008/109504
Statut Délivré - en vigueur
Date de dépôt 2008-03-03
Date de publication 2008-09-12
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s) Limuro, Shunichi

Abrégé

Embodiments of apparatus (100) and methods for performing high throughput non-plasma processing are generally described herein. Other embodiments may be described and claimed.

Classes IPC  ?

  • H01L 21/00 - Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de dispositifs à semi-conducteurs ou de dispositifs à l'état solide, ou bien de leurs parties constitutives

23.

ATOMIC LAYER DEPOSITION SYSTEMS AND METHODS

      
Numéro d'application US2008053561
Numéro de publication 2008/100846
Statut Délivré - en vigueur
Date de dépôt 2008-02-11
Date de publication 2008-08-21
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s) Dip, Anthony

Abrégé

Systems and methods for depositing thin films using Atomic Layer Deposition (ALD). The deposition system (10) includes a process chamber (16) with a peripheral sidewall (36), partitions (68, 70, 72, 74) that divide a processing space (38) inside the process chamber (16) into at least two compartments (76, 78), and a platter (50) that supports substrates (15) within the processing space (38). The platter (50) rotates the substrates (15) relative to the stationary peripheral sidewail (36) and compartments (76, 78). One compartment (76) receives a process material used to deposit a layer on each of the substrates (15) and the other compartment (78) contains an inert gas. A material injector (100, 100a, 100b), which injects the process materia!, communicates with the compartment (76) through the peripheral sidewall (36).

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • H01L 21/00 - Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de dispositifs à semi-conducteurs ou de dispositifs à l'état solide, ou bien de leurs parties constitutives

24.

SEMICONDUCTOR WAFER BOAT FOR BATCH PROCESSING

      
Numéro d'application US2008052760
Numéro de publication 2008/095154
Statut Délivré - en vigueur
Date de dépôt 2008-02-01
Date de publication 2008-08-07
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s) Herzog, Frank

Abrégé

A boat (50) is provided for stacking semiconductor wafers (20) vertically in processes in which low friction deposits may coat wafer supporting surfaces and allow the wafers to slip sideways in the boat, leaving them sufficiently out of alignment to cause wafer breakage in handling. Typical boats for these processes have vertical legs (52) in which aligned notches (58) support the wafers. The notches provide enough clearance around the edge of the wafers to facilitate loading and unloading of the wafers without wafer damage, as long as the wafers remain centered. With the invention, each notch has a shallow recess (66) on which the edge of a wafer can rest. The recess adds a low step close to the wafer edge that resists horizontal sliding movement of the wafer. Wafers inserted into the boat in a plane spaced above the steps, then lowered onto the recesses.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

25.

PROCESSING SYSTEM CONTAINING A HOT FILAMENT HYDROGEN RADICAL SOURCE FOR INTEGRATED SUBSTRATE PROCESSING

      
Numéro d'application US2007079667
Numéro de publication 2008/042691
Statut Délivré - en vigueur
Date de dépôt 2007-09-27
Date de publication 2008-04-10
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s)
  • Matsuda, Tsukasa
  • Sakuragi, Isamu

Abrégé

A processing system (1, 504B) and method for integrated substrate processing in a substrate processing tool (500). The processing system (1, 504B) contains a substrate holder (20) configured for supporting and controlling the temperature of the substrate (25), a hot filament hydrogen radical source (31 ) for generating hydrogen radicals, and a controller (70, 510) configured for controlling the processing system (1, 504B). The hot filament hydrogen radical source (31 ) includes a showerhead assembly (30) containing an internal volume (37) and a showerhead plate (35) having gas passages (33) facing the substrate (25) for exposing the substrate (25) to the hydrogen radicals, and at least one meta! wire filament (59, 59a, 59b, 59c) within the interna! volume (37) to thermaliy dissociate H2 gas into the hydrogen radicals. The integrated process includes pretreating exposed surfaces of an etch feature (105) in a dielectric film (113, 115, 624, 626) and an exposed metal interconnect pattern (111 A, 622A) formed underneath the etch feature (105) with a flow of hydrogen radicals generated by thermal decomposition of H2 gas by a hot filament hydrogen radical source (31 ) separated from the substrate (25) by a showerhead plate (35) containing gas passages (33) facing the substrate (25). The integrated process further includes depositing a barrier metal film (116, 628) over the pretreated exposed surfaces, and forming a Cu metal film (113) on the barrier metal film (116, 628).

Classes IPC  ?

  • C23C 16/02 - Pré-traitement du matériau à revêtir
  • C23C 16/452 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour produire des courants de gaz réactifs, p. ex. par évaporation ou par sublimation de matériaux précurseurs par activation de courants de gaz réactifs avant l'introduction dans la chambre de réaction, p. ex. par ionisation ou par addition d'espèces réactives
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/04 - Revêtement de parties déterminées de la surface, p. ex. au moyen de masques
  • C23C 16/18 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le dépôt d'un matériau métallique à partir de composés organométalliques
  • C23C 16/56 - Post-traitement

26.

SEMICONDUCTOR DEVICES CONTAINING NITRIDED HIGH DIELECTRIC CONSTANT FILMS AND METHOD OF FORMING

      
Numéro d'application US2007079681
Numéro de publication 2008/042695
Statut Délivré - en vigueur
Date de dépôt 2007-09-27
Date de publication 2008-04-10
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s) Clark, Robert, D.

Abrégé

A semiconductor device containing a substrate (25, 92) and a nitrided high-k film (96) on the substrate (25, 92), and method of forming a nitrided high-k film (96). The nitrided high-k film (96) contains an oxygen-containing film and a nitrogen- containing film that is oxidized through at least a portion of the thickness thereof. The nitrogen-containing film and the oxygen-containing film contain the same one or more metal elements selected from alkaline earth elements, rare earth elements, and Group IVB elements of the Periodic Table. The nitrided high-k film (96) can optionally further contain aluminum, siiicon, or aluminum and silicon. The nitrided high-k film (96) is formed on the substrate (25, 92) by a) depositing a nitrogen-containing film, and b) depositing an oxygen-containing film, wherein steps a) and b) are performed in any order, any number of times, so as to oxidize at least a portion of the thickness of the nitrogen-containing film. According to one embodiment, the method includes forming a nitrided hafnium based high-k film (96).

Classes IPC  ?

27.

METHOD FOR INTEGRATED SUBSTRATE PROCESSING IN COPPER METALLIZATION

      
Numéro d'application US2007075154
Numéro de publication 2008/039593
Statut Délivré - en vigueur
Date de dépôt 2007-08-03
Date de publication 2008-04-03
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s) Suzuki, Kenji

Abrégé

A method of copper metallization includes providing a patterned substrate (400, 600) containing a via (426, 626) and a trench (424, 624), and performing an integrated process on the patterned substrate (400, 600). The integrated process includes depositing a first metai-containing layer (428, 628) over the patterned substrate (400, 600), removing by sputter etching the first metal-containing layer (428, 628) from the bottom (426b, 626b) of the via (426, 626) and at least partially removing the first metal-containing layer (428, 628) from the bottom (424b, 624b) of the trench (424, 624), depositing a conformal Ru layer (432, 632) onto the sputter etched first metal- containing layer (428a, 628a), depositing a Cu alloying metal layer (434, 634) onto the conformal Ru layer (432, 632), and plating Cu over the patterned substrate (400, 600). According to one embodiment, the method can further include depositing a second metal-containing layer (430) onto the sputter etched first metal-containing layer (428a, 628a) prior to depositing the conformal Ru layer (432, 632). According to another embodiment, a Cu alloying metal may be deposited onto the plated Cu and the plated Cu annealed.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif

28.

METHOD FOR DOUBLE PATTERNING A DEVELOPABLE ANTI-REFLECTIVE COATING

      
Numéro d'application US2007077166
Numéro de publication 2008/036496
Statut Délivré - en vigueur
Date de dépôt 2007-08-30
Date de publication 2008-03-27
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s) Dunn, Shannon, W.

Abrégé

A method for double patterning a thin film (220) on a substrate (210) is described. The method includes forming the thin film (220) to be patterned on the substrate (210), forming a developable anti-reflective coating (ARC) layer (240) on the thin film (220), and forming a layer of photo-resist (250) on the arc layer (240). Thereafter, the layer of photo-resist (250) and the arc layer (240) are imaged with a first image pattern region (252), and developed, thus forming the first image pattern (242) in the arc layer (240). The photo-resist (250) is removed and another layer of photo-resist (260) is formed on the arc layer (240). Thereafter, the other layer of photo-resist (260) and the arc layer (240) are imaged with a second image pattern region (254), and developed, thus forming the second image pattern (244) in the arc layer (240). The other photo-resist layer (260) is removed and a double patterned arc layer (240) remains for etching the underlying thin film (220).

Classes IPC  ?

  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • G03F 7/00 - Production par voie photomécanique, p. ex. photolithographique, de surfaces texturées, p. ex. surfaces impriméesMatériaux à cet effet, p. ex. comportant des photoréservesAppareillages spécialement adaptés à cet effet
  • G03F 7/09 - Matériaux photosensibles caractérisés par des détails de structure, p. ex. supports, couches auxiliaires
  • G03F 7/095 - Matériaux photosensibles caractérisés par des détails de structure, p. ex. supports, couches auxiliaires ayant plus d'une couche photosensible

29.

SUBSTRATE CLEANING METHOD

      
Numéro d'application US2007076734
Numéro de publication 2008/030713
Statut Délivré - en vigueur
Date de dépôt 2007-08-24
Date de publication 2008-03-13
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s)
  • Mizota, Shogo
  • Teruomi, Minami
  • Yokomizo, Kenji
  • Masaki, Taira

Abrégé

A method is provided for reducing the amount of film fragments (66a) discharged into a processing liquid circulation system (73, 73') during removal of films (66) from wafers (W), thereby reducing the frequency of filter (80) cleaning or filter (80) replacement. The method includes exposing a wafer (W) containing a film (66) formed thereon in a process chamber (46) of a substrate processing system (1) to a processing liquid (64), where the wafer (W) is not rotated or is rotated at a first speed (608a, 908a, 1208a) and the processing liquid (64) is discharged from the process chamber (46) to a processing liquid circulation system (73). Subsequently, exposure of the wafer (W) to the processing liquid (64, 64a, 64b) is discontinued and the wafer (W) is rotated at a second speed (608b, 908b, 1208b) greater than the first speed (608a, 908a, 1208a) to centrifugally remove fragments (66a) of the film (66) from the wafer (W). Next, the wafer (W) is exposed to the same or a different processing liquid (64, 64a, 64b) and the processing liquid (64, 64a, 64b) is discharged from the process chamber (46) to a processing liquid drain (78).

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

30.

THERMAL PROCESSING SYSTEM WITH IMPROVED PROCESS GAS FLOW AND METHOD FOR INJECTING A PROCESS GAS INTO A THERMAL PROCESSING SYSTEM

      
Numéro d'application US2007068474
Numéro de publication 2008/021598
Statut Délivré - en vigueur
Date de dépôt 2007-05-08
Date de publication 2008-02-21
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s)
  • Dip, Anthony
  • Asari, Shinji
  • Gandhi, Meenakshisundaram

Abrégé

A thermal processing system (10) with improved gas flow and method for injecting a process gas into a thermal processing system (10). The thermal processing system (10) has an injection section (50; 82) with injection outlets (52) that inject process gas into a processing space (14) and a delivery section (46; 81; 104) that delivers process gas to the injection section (50). The delivery section (46; 81; 104) may be coupled with the injection section (50; 82) at an inlet disposed between opposite ends (53, 53) of the injection section (50; 82). A fluid lumen (56) of the injection section (50; 82) may have a larger cross-sectional area than a fluid lumen (45) of the delivery section (46; 81; 104). The thermal processing system (10) may include an inner tube (12), which surrounds the processing space (14), having a slit (16) through which the processing space (14) communicates with an annular pumping space (72) defined between the inner tube (12) and an outer tube (12) of the thermal processing system (10).

Classes IPC  ?

  • H01L 21/00 - Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de dispositifs à semi-conducteurs ou de dispositifs à l'état solide, ou bien de leurs parties constitutives

31.

EXHAUST ASSEMBLY FOR A PLASMA PROCESSING SYSTEM AND METHOD

      
Numéro d'application US2007073972
Numéro de publication 2008/021654
Statut Délivré - en vigueur
Date de dépôt 2007-07-20
Date de publication 2008-02-21
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s) Takahashi, Hiroyuki

Abrégé

An exhaust assembly is described for use in a plasma processing system (10, 100, 110, 200, 300, 500, 600, 700), whereby secondary plasma is formed in the exhaust assembly (140, 240, 334) between the processing space and chamber exhaust ports in order to reduce plasma leakage (18) to a vacuum pumping system (16, 130, 330), or improve the uniformity of the processing plasma, or both. The exhaust assembly (140, 240, 334) includes a powered exhaust plate (142, 242) in combination with a ground electrode (244) is utilized to form the secondary plasma surrounding a peripheral edge of a substrate treated in the plasma processing system.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement

32.

METHOD FOR FORMATION OF OXIDIZED ALUMINUM NITRIDE FILMS

      
Numéro d'application US2007068447
Numéro de publication 2007/134035
Statut Délivré - en vigueur
Date de dépôt 2007-05-08
Date de publication 2007-11-22
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s)
  • Dip, Anthony
  • Reid, Kimberly, G.

Abrégé

A method is provided for in-situ formation of a thin oxidized AlN film on a substrate. The method includes providing the substrate in a process chamber (10), depositing an AfN film on the substrate (40), and post-treating the AIN film with exposure to a nitrogen and oxygen-containing gas. The post-treating increases the dielectric constant of the AIN film with substantially no increase in the AIN film thickness. The method can also include pre-treating the substrate (40) prior to AIN deposition, post-anneaiing the AIN film before or after the post-treatment, or both.

Classes IPC  ?

  • C23C 16/30 - Dépôt de composés, de mélanges ou de solutions solides, p. ex. borures, carbures, nitrures
  • C23C 16/56 - Post-traitement

33.

METHOD FOR INTEGRATING A CONFORMAL RUTHENIUM LAYER INTO COPPER METALLIZATION OF HIGH ASPECT RATIO FEATURES

      
Numéro d'application US2007063570
Numéro de publication 2007/117802
Statut Délivré - en vigueur
Date de dépôt 2007-03-08
Date de publication 2007-10-18
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s) Suzuki, Kenji

Abrégé

A method of integrated processing of a patterned substrate (400, 600) for copper metallization. The method includes providing the patterned substrate (400, 600) containing a via (426, 626) and a trench (424, 624) in a vacuum processing tool (300), and performing an integrated process on the patterned substrate (400, 600) in the vacuum processing tool (300) by depositing a first metal-containing layer (428, 628) over the patterned substrate (400, 600), removing by sputter etching the first metal-containing layer (428, 628) from the bottom (426b, 626b) of the via (426, 626) and at least partially removing the first metal-containing layer (428, 628) from the bottom (424b, 624b) of the trench (424,624), depositing a conformal Ru layer (432, 632) onto the sputter etched first metal-containing layer (428a, 628a), depositing a non-conformal Cu layer (434,634) on the conformal Ru layer (432), and plating Cu (436, 636) over the patterned substrate (400, 600). According to one embodiment of the invention, the method can further include depositing a second metal-containing layer (430) onto the sputter etched first metal-containing layer (428a) prior to depositing the conformal Ru layer (432).

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif

34.

METHOD FOR INTRODUCING A PRECURSOR GAS TO A VAPOR DEPOSITION SYSTEM

      
Numéro d'application US2007063574
Numéro de publication 2007/117803
Statut Délivré - en vigueur
Date de dépôt 2007-03-08
Date de publication 2007-10-18
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s)
  • Suzuki, Kenji
  • Gomi, Atsushi

Abrégé

A method for introducing a precursor vapor to a processing chamber (10, 110) configured for forming a thin metal on a substrate (25, 125) is described. The vapor delivery method includes introducing a dilution gas to the precursor vapor and adjusting the spatial distribution of the dilution gas addition in order to affect improvements to the properties of the deposited film.

Classes IPC  ?

  • C23C 16/16 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le dépôt d'un matériau métallique à partir de métaux carbonyles
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

35.

THERMAL PROCESSING FURNACE, GAS DELIVERY SYSTEM THEREFOR, AND METHODS FOR DELIVERING A PROCESS GAS THERETO

      
Numéro d'application US2007064461
Numéro de publication 2007/117894
Statut Délivré - en vigueur
Date de dépôt 2007-03-21
Date de publication 2007-10-18
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s)
  • Dip, Anthony
  • Malstrom, Eric, J.

Abrégé

A gas delivery system for supplying a process gas from a gas supply ( 14) to a thermal processing furnace (10), a thermal processing furnace (10) equipped with the gas delivery system, and methods for delivering process gas to a thermal processing furnace ( 10). The gas delivery system comprises a plurality of regulators (16, 18), such as mass flow controllers, in a process gas manifold (25) coupling a gas supply (14) with a thermal processing furnace (10). The regulators ( 16, 18) establish a corresponding plurality of flows of a process gas at a plurality of flow rates communicated by the process gas manifold (25) to the thermal processing furnace (10). The gas delivery system may be a component of the thermal processing furnace (10) that further includes a liner (38) that surrounds a processing space (26) inside the thermal processing furnace (10).

Classes IPC  ?

  • C30B 25/14 - Moyens d'introduction et d'évacuation des gazModification du courant des gaz réactifs
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

36.

METHOD FOR REPLACING A NITROUS OXIDE BASED OXIDATION PROCESS WITH A NITRIC OXIDE BASED OXIDATION PROCESS FOR SUBSTRATE PROCESSING

      
Numéro d'application US2007064464
Numéro de publication 2007/117896
Statut Délivré - en vigueur
Date de dépôt 2007-03-21
Date de publication 2007-10-18
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s) Dip, Anthony

Abrégé

A method for performing an oxidation process on a plurality of substrates in a batch processing system. According to one embodiment, the method includes selecting a N2O-based oxidation process for the substrates (16) including a first process gas containing N2O that thermally decomposes in a process chamber (12) of the batch processing system to N2, O2, and NO byproducts, and generating a replacement NO-based oxidation process for the substrates (16) including a second process gas containing N2, O2, and NO with molar concentrations that mimic that of the N2, O2, and NO byproducts in the N2O-based oxidation process. According to another embodiment of the invention, the NO-based oxidation process contains NO, O2, and an inert gas.

Classes IPC  ?

  • H01L 21/314 - Couches inorganiques
  • H01L 21/316 - Couches inorganiques composées d'oxydes, ou d'oxydes vitreux, ou de verres à base d'oxyde

37.

METHOD OF FORMING MIXED RARE EARTH OXYNITRIDE AND ALUMINUM OXYNITRIDE FILMS BY ATOMIC LAYER DEPOSITION

      
Numéro d'application US2007065015
Numéro de publication 2007/117989
Statut Délivré - en vigueur
Date de dépôt 2007-03-27
Date de publication 2007-10-18
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s) Clark, Robert, D.

Abrégé

A method is provided for depositing a gate dielectric that includes at least two rare earth, metal elements in the form of an oxynitride or an aluminum oxynitride. The method includes disposing a substrate (25, 92) in a process chamber (10) and exposing the substrate (25, 92) to a gas pulse containing a first rare earth precursor and to a gas pulse containing a second rare earth precursor. The substrate (25, 92) may also optionally be exposed to a gas pulse containing an aluminum precursor. Sequentially after each precursor gas pulse, the substrate (25, 92) is exposed to a gas pulse of an oxygen-containing gas, nitrogen-containing gas or an oxygen- and nitrogen-containing gas. In alternative embodiments, the first and second rare earth precursors may be pulsed together, and either or both, may be pulsed together with the aluminum precursor. The first and second rare earth precursors comprise a different rare earth metal element. The sequential exposing steps may be repeated to deposit a mixed rare earth oxynitride or aluminum oxynitride layer (96) with a desired thickness. Purge or evacuation steps may also be performed after each gas pulse.

Classes IPC  ?

  • H01L 21/314 - Couches inorganiques
  • C23C 16/448 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour produire des courants de gaz réactifs, p. ex. par évaporation ou par sublimation de matériaux précurseurs
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/30 - Dépôt de composés, de mélanges ou de solutions solides, p. ex. borures, carbures, nitrures
  • C23C 28/02 - Revêtements uniquement de matériaux métalliques

38.

SEMICONDUCTOR DEVICE WITH GATE DIELECTRIC CONTAINING ALUMINUM AND MIXED RARE EARTH ELEMENTS

      
Numéro d'application US2007065323
Numéro de publication 2007/118004
Statut Délivré - en vigueur
Date de dépôt 2007-03-28
Date de publication 2007-10-18
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s) Clark, Robert, D.

Abrégé

A semiconductor device (90, 91), such as a transistor or capacitor, is provided. The device (90, 91) includes a substrate (25, 92), a gate dielectric (96) over the substrate (25, 92), and a conductive gate electrode film. (98) over the gate dielectric (96). The gate dielectric (96) includes a mixed rare earth oxide, nitride or oxynitride film containing at least two different rare earth metal elements.

Classes IPC  ?

  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
  • H01L 29/51 - Matériaux isolants associés à ces électrodes
  • H01L 21/314 - Couches inorganiques
  • H01L 29/92 - Condensateurs avec barrière de potentiel ou barrière de surface
  • H01L 29/94 - Dispositifs à métal-isolant-semi-conducteur, p.ex. MOS

39.

METHOD OF FORMING MIXED RARE EARTH NITRIDE AND ALUMINUM NITRIDE FILMS BY ATOMIC LAYER DEPOSITION

      
Numéro d'application US2007065331
Numéro de publication 2007/118006
Statut Délivré - en vigueur
Date de dépôt 2007-03-28
Date de publication 2007-10-18
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s) Clark, Robert D.

Abrégé

A method is provided for depositing a gate dielectric that includes at least two rare earth metal elements in the form of a nitride or an aluminum nitride. The method includes disposing a substrate (25, 92) in a process chamber (10) and exposing the substrate (25, 92) to a gas pulse containing a first rare earth precursor and to a gas pulse containing a second rare earth precursor. The substrate (25, 92) may also optionally be exposed to a gas pulse containing an aluminum precursor. Sequentially after each precursor gas pulse, the substrate (25, 92) is exposed to a gas pulse of a nitrogen-containing gas. In alternative embodiments, the first and second rare earth precursors may be pulsed together, and either or both may be pulsed together with the aluminum precursor. The first and second rare earth precursors comprise a different rare earth metal element. The sequential exposing steps may be repeated to deposit a mixed rare earth nitride or aluminum nitride layer (96) with a desired thickness. Purge or evacuation steps may also be performed after each gas pulse.

Classes IPC  ?

  • H01L 21/314 - Couches inorganiques
  • C23C 16/448 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour produire des courants de gaz réactifs, p. ex. par évaporation ou par sublimation de matériaux précurseurs
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/30 - Dépôt de composés, de mélanges ou de solutions solides, p. ex. borures, carbures, nitrures
  • C23C 28/02 - Revêtements uniquement de matériaux métalliques

40.

DEPOSITING RUTHENIUM FILMS USING IONIZED PHYSICAL VAPOR DEPOSITION (IPVD)

      
Numéro d'application US2007065756
Numéro de publication 2007/118042
Statut Délivré - en vigueur
Date de dépôt 2007-04-02
Date de publication 2007-10-18
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s) Cerio, Frank, M., Jr.

Abrégé

An iPVD system (200A) is programmed to deposit a barrier and/or seed layer (10) using a Ru-containing material into high aspect ratio nano-size features on semiconductor substrates (12, 211 ) using a process which enhances the sidewalÊ (16) coverage compared to the field and bottom (15) coverage(s) while minimizing or eliminating overhang within an IPVD processing chamber (220). In the preferred embodiment, an IPVD apparatus having a frusto-conical ruthenium target (225) equipped with a high density ICP source is provided.

Classes IPC  ?

  • C23C 14/34 - Pulvérisation cathodique
  • C23C 14/35 - Pulvérisation cathodique par application d'un champ magnétique, p. ex. pulvérisation au moyen d'un magnétron

41.

SYSTEM FOR INTRODUCING A PRECURSOR GAS TO A VAPOR DEPOSITION SYSTEM

      
Numéro d'application US2007064466
Numéro de publication 2007/117898
Statut Délivré - en vigueur
Date de dépôt 2007-03-21
Date de publication 2007-10-18
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s)
  • Suzuki, Kenji
  • Gomi, Atsushi

Abrégé

A system for introducing a precursor vapor to a processing chamber (10, 110) configured for forming a thin metal on a substrate (25, 125) is described. The vapor delivery system includes means for introducing a dilution gas to the precursor vapor and adjusting the spatial distribution of the dilution gas addition in order to affect improvements to the properties of the deposited film.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/16 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le dépôt d'un matériau métallique à partir de métaux carbonyles

42.

SEMICONDUCTOR DEVICE WITH GATE DIELECTRIC CONTAINING MIXED RARE EARTH ELEMENTS

      
Numéro d'application US2007065024
Numéro de publication 2007/117991
Statut Délivré - en vigueur
Date de dépôt 2007-03-27
Date de publication 2007-10-18
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s) Clark, Robert, D.

Abrégé

A semiconductor device (90, 91), such as a transistor or capacitor, is provided. The device (90, 91) includes a substrate (25, 92), a gate dielectric (96) over the substrate (25, 92), and a conductive gate electrode film (98) over the gate dielectric (96). The gate dielectric (96) includes a mixed rare earth oxide, nitride or oxynitride film containing at least two different rare earth metal elements.

Classes IPC  ?

  • H01L 29/51 - Matériaux isolants associés à ces électrodes
  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 29/92 - Condensateurs avec barrière de potentiel ou barrière de surface
  • H01L 29/94 - Dispositifs à métal-isolant-semi-conducteur, p.ex. MOS
  • H01L 21/314 - Couches inorganiques

43.

METHOD OF FORMING MIXED RARE EARTH OXIDE AND MIXED RARE EARTH ALUMINATE FILMS BY ATOMIC LAYER DEPOSITION

      
Numéro d'application US2007065342
Numéro de publication 2007/115029
Statut Délivré - en vigueur
Date de dépôt 2007-03-28
Date de publication 2007-10-11
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s) Clark, Robert, D.

Abrégé

A method is provided for depositing a gate dielectric that includes at least two rare earth metal elements in the form of an oxide or an aluminate. The method includes disposing a substrate (25, 92) in a process chamber (10) and exposing the substrate (25, 92) to a gas pulse containing a first rare earth precursor and to a gas pulse containing a second rare earth precursor. The substrate (25, 92) may also optionally be exposed to a gas pulse containing an aluminum precursor. Sequentially after each precursor gas pulse, the substrate (25, 92) is exposed to a gas pulse of an oxygen-containing gas. In alternative embodiments, the first and second rare earth precursors may be pulsed together, and either or both may be pulsed together with the aluminum precursor. The first and second rare earth precursors comprise a different rare earth metal element. The sequential exposing steps may be repeated to deposit a mixed rare earth oxide or aluminate layer (96) with a desired thickness. Purge or evacuation steps may also be performed after each gas pulse.

Classes IPC  ?

  • C23C 16/448 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour produire des courants de gaz réactifs, p. ex. par évaporation ou par sublimation de matériaux précurseurs
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

44.

MONITORING A SYSTEM DURING LOW-PRESSURE PROCESSES

      
Numéro d'application US2007065483
Numéro de publication 2007/115084
Statut Délivré - en vigueur
Date de dépôt 2007-03-29
Date de publication 2007-10-11
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s)
  • Kaushal, Sanjeev
  • Pandey, Pradeep
  • Sugishima, Kenji

Abrégé

A method of monitoring a processing system (100, 200, 300) in real-time using low-pressure based modeling techniques that include processing one or more of wafers (W) in a processing chamber (50, 104, 202); determining a measured dynamic process response for a rate of change for a process parameter; executing a real-time dynamic model to generate a predicted dynamic process response; determining a dynamic estimation error using a difference between the predicted dynamic process response and the expected process response; and comparing the dynamic estimation error to operational limits.

Classes IPC  ?

  • G05B 19/418 - Commande totale d'usine, c.-à-d. commande centralisée de plusieurs machines, p. ex. commande numérique directe ou distribuée [DNC], systèmes d'ateliers flexibles [FMS], systèmes de fabrication intégrés [IMS], productique [CIM]
  • G05B 23/02 - Test ou contrôle électrique

45.

METHOD FOR CREATING A BUILT-IN SELF TEST (BIST) TABLE FOR MONITORING A MONOLAYER DEPOSITION (MLD) SYSTEM

      
Numéro d'application US2007065573
Numéro de publication 2007/115138
Statut Délivré - en vigueur
Date de dépôt 2007-03-30
Date de publication 2007-10-11
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s)
  • Kaushal, Sanjeev
  • Pandey, Pradeep
  • Sugishima, Kenji

Abrégé

A method of monitoring a processing system (100, 200, 300) in real-time using low-pressure based modeling techniques that include processing one or more of wafers (W) in a processing chamber (50, 104, 202), calculating dynamic estimation errors for the precursor and/or purging process, and determining if the dynamic estimation errors can be associated with pre-existing BIST rules for the process. When the dynamic estimation error cannot be associated with a pre-existing BIST rule, the method includes either modifying the BlST table by creating a new BIST rule for the process, or stopping the process when a new BIST rule cannot be created.

Classes IPC  ?

  • G05B 19/418 - Commande totale d'usine, c.-à-d. commande centralisée de plusieurs machines, p. ex. commande numérique directe ou distribuée [DNC], systèmes d'ateliers flexibles [FMS], systèmes de fabrication intégrés [IMS], productique [CIM]
  • G05B 23/02 - Test ou contrôle électrique

46.

MONITORING A SINGLE-WAFER PROCESSING SYSTEM

      
Numéro d'application US2007065473
Numéro de publication 2007/115080
Statut Délivré - en vigueur
Date de dépôt 2007-03-29
Date de publication 2007-10-11
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s)
  • Kaushal, Sanjeev
  • Pandey, Pradeep
  • Sugishima, Kenji

Abrégé

A method of monitoring a single-wafer processing system (100, 200, 300) in real-time using low-pressure based modeling techniques that include processing a wafer (W) in a processing chamber (50, 104, 202); determining a measured dynamic process response for a rate of change for a process parameter; executing a real-time dynamic model to generate a predicted dynamic process response; determining a dynamic estimation error using a difference between the predicted dynamic process response and the expected process response; and comparing the dynamic estimation error to operational limits.

Classes IPC  ?

  • G05B 19/418 - Commande totale d'usine, c.-à-d. commande centralisée de plusieurs machines, p. ex. commande numérique directe ou distribuée [DNC], systèmes d'ateliers flexibles [FMS], systèmes de fabrication intégrés [IMS], productique [CIM]
  • G05B 23/02 - Test ou contrôle électrique

47.

MONITORING A MONOLAYER DEPOSITION (MLD) SYSTEM USING A BUILT-IN SELF TEST (BIST) TABLE

      
Numéro d'application US2007065568
Numéro de publication 2007/115136
Statut Délivré - en vigueur
Date de dépôt 2007-03-30
Date de publication 2007-10-11
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC (USA)
Inventeur(s)
  • Kaushal, Sanjeev
  • Pandey, Pradeep
  • Sugishima, Kenji

Abrégé

A method of monitoring a processing system (100, 200, 300) in real-time using low-pressure based modeling techniques that include processing one or more of wafers (W) in a processing chamber (50, 104, 202); determining a measured dynamic process response for a rate of change for a process parameter; executing a real-time dynamic model to generate a predicted dynamic process response; determining a dynamic estimation error using a difference between the predicted dynamic process response and the expected process response; and comparing the dynamic estimation error to operational limits.

Classes IPC  ?

  • G05B 19/418 - Commande totale d'usine, c.-à-d. commande centralisée de plusieurs machines, p. ex. commande numérique directe ou distribuée [DNC], systèmes d'ateliers flexibles [FMS], systèmes de fabrication intégrés [IMS], productique [CIM]
  • G05B 23/02 - Test ou contrôle électrique

48.

METHOD FOR PURIFYING METAL CARBONYL PRECURSORS

      
Numéro d'application US2007065028
Numéro de publication 2007/112394
Statut Délivré - en vigueur
Date de dépôt 2007-03-27
Date de publication 2007-10-04
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s) Suzuki, Kenji

Abrégé

A method and integrated system (100) are provided for purifying and delivering a metal carbonyl precursor (114) utilized to process a substrate. The method includes providing the metal carbonyl precursor (114) containing un-reacted metal carbonyl precursor and metal-containing impurities in a metal precursor vaporization chamber (110) containing a precursor collection plate (120, 130), evacuating the metal precursor vaporization chamber (110), pressurizing the metal precursor vaporization chamber (110) with a CO-containing gas, vaporizing the un-reacted metal carbonyl precursor, and condensing the vaporized un-reacted metal carbonyl precursor as a purified metal carbonyl precursor (120a, 130a) on the precursor collection plate (120, 130). The method may further include vaporizing the purified metal carbonyl precursor (120a, 130a), and delivering a process gas containing the vapor of the purified metal carbonyi precursor (120a, 130a) by flowing a gas containing CO through the metai precursor vaporization chamber (110) to a deposition system (150) configured to expose a substrate to the process gas.

Classes IPC  ?

  • B01D 7/00 - Sublimation
  • C23C 16/16 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le dépôt d'un matériau métallique à partir de métaux carbonyles
  • C23C 16/448 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour produire des courants de gaz réactifs, p. ex. par évaporation ou par sublimation de matériaux précurseurs

49.

SEMICONDUCTOR PROCESSING SYSTEM WITH WIRELESS SENSOR NETWORK MONITORING SYSTEM INCORPORATED THEREWITH

      
Numéro d'application US2007063462
Numéro de publication 2007/112180
Statut Délivré - en vigueur
Date de dépôt 2007-03-07
Date de publication 2007-10-04
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s)
  • Kaushal, Sanjeev
  • Sugishima, Kenji
  • Rao, Donthineni, Ramesh, Kumar

Abrégé

A method and system for non-invasive sensing and monitoring of a processing system (100, 200) employed in semiconductor manufacturing. The method allows for detecting and diagnosing drift and failures in the processing system (100, 200) and taking the appropriate correcting measures. The method includes positioning at least one non-invasive sensor (247a-d, 248a-b, 249a-b, 250a-c, 906) on an outer surface of a system component of the processing system (200), where the at least one invasive sensor forms a wireless sensor network (902), acquiring a sensor signal from the at least one non-invasive sensor (247a-d, 248a-b, 249a-b, 250a-c, 906), where the sensor signal tracks a gradual or abrupt change in a processing state of the system component during flow of a process gas in contact with the system component, and extracting the sensor signal from the wireless sensor network (902) to store and process the sensor signal. In one embodiment, the non-invasive sensor (247a-d, 248a-b, 249a-b, 250a-c, 906) can be an accelerometer sensor and the wireless sensor network (902) can be motes-based.

Classes IPC  ?

  • H01L 21/00 - Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de dispositifs à semi-conducteurs ou de dispositifs à l'état solide, ou bien de leurs parties constitutives

50.

METHOD OF MONITORING A SEMICONDUCTOR PROCESSING SYSTEM USING A WIRELESS SENSOR NETWORK

      
Numéro d'application US2007063466
Numéro de publication 2007/112181
Statut Délivré - en vigueur
Date de dépôt 2007-03-07
Date de publication 2007-10-04
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s)
  • Kaushal, Sanjeev
  • Sugishima, Kenji
  • Rao, Donthineni, Ramesh Kumar

Abrégé

A method and system for non-invasive sensing and monitoring of a processing system (100, 200) employed in semiconductor manufacturing. The method allows for detecting and diagnosing drift and failures in the processing system (100, 200) and taking the appropriate correcting measures. The method includes positioning at least one non-invasive sensor (247a-d, 248a-b, 249a-b, 250a-c, 906) on an outer surface of a system component of the processing system (200), where the at least one non- invasive sensor forms a wireless sensor network (902), acquiring a sensor signal from the at least one non-invasive sensor (247a-d, 248a-b, 249a-b, 250a-c, 906), where the sensor signal tracks a gradual or abrupt change in a processing state of the system component during flow of a process gas in contact with the system component, and extracting the sensor signal from the wireless sensor network (902) to store and process the sensor signal. In one embodiment, the non-invasive sensor (247a-d, 248a-b, 249a-b, 250a-c, 906) can be an accelerometer sensor and the wireless sensor network (902) can be motes-based.

Classes IPC  ?

  • H01L 21/00 - Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de dispositifs à semi-conducteurs ou de dispositifs à l'état solide, ou bien de leurs parties constitutives

51.

METHOD AND APPARATUS FOR REDUCING PARTICLE CONTAMINATION IN A DEPOSITION SYSTEM

      
Numéro d'application US2007063285
Numéro de publication 2007/109410
Statut Délivré - en vigueur
Date de dépôt 2007-03-05
Date de publication 2007-09-27
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s)
  • Suzuki, Kenji
  • Gomi, Atsushi
  • Hara, Masamichi
  • Mizusawa, Yasushi

Abrégé

A method and system is described for reducing particle contamination of a substrate (25) in a deposition system (1). The deposition system comprises one or more particle diffusers (47) disposed therein and configured to prevent or partially prevent the passage of film precursor particles, or break-up or partially break-up film precursor particles. The particle diffuser may be installed in the film precursor evaporation system (50), or the vapor delivery system (40), or the vapor distribution system (30), or two or more thereof.

Classes IPC  ?

  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement

52.

FILM PRECURSOR EVAPORATION SYSTEM AND METHOD OF USING

      
Numéro d'application US2007060561
Numéro de publication 2007/095407
Statut Délivré - en vigueur
Date de dépôt 2007-01-16
Date de publication 2007-08-23
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s)
  • Suzuki, Kenji
  • Guidotti, Emmanuel, P.
  • Leusink, Gerrit, J.
  • Hara, Masamichi
  • Kuroiwa, Daisuke
  • Ishizaka, Tadahiro

Abrégé

A high conductance, multi-tray film precursor evaporation system (1 ) coupled with a high conductance vapor delivery system (40) is described for increasing deposition rate by increasing exposed surface area of film precursor. The multi-tray film precursor evaporation system (50) includes one or more trays (340). Each tray is configured to support and retain film precursor (350) in, for example, solid powder form or solid tablet form. Additionally, each tray is configured to provide for a high conductance flow of carrier gas over the film precursor while the film precursor is heated. For example, the carrier gas flows inward over the film precursor, and vertically upward through a flow channel (318) within the stackable trays and through an outlet (322) in the solid precursor evaporation system.

Classes IPC  ?

  • C23C 16/448 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour produire des courants de gaz réactifs, p. ex. par évaporation ou par sublimation de matériaux précurseurs

53.

EXHAUST DEPOSIT BUILDUP MONITORING IN SEMICONDUCTOR PROCESSING

      
Numéro d'application US2007061971
Numéro de publication 2007/095487
Statut Délivré - en vigueur
Date de dépôt 2007-02-12
Date de publication 2007-08-23
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRON AMERICA, INC. (USA)
Inventeur(s)
  • Pettit, Jonathan
  • Joe, Raymond

Abrégé

A system (40) is provided for determining when the buildup of deposits (30) in an exhaust line (20) of a semiconductor wafer processing machine (10) requires cleaning. Deposits in vacuum exhaust lines (20) build up to where they eventually fail structurally, releasing particles that can contaminate equipment and processes. The time at which cleaning is required is often unpredictable, while frequent or early cleaning to avoid waiting too long unnecessarily reduces productivity. The invention provides for the monitoring of thermal properties on the inside of an exhaust line wall. Deposits cause changes in the monitored thermal properties. A heater (42) and thermocouple (43) can be used, for example, and the temperature at the thermocouple that is due to heat flow from the heater is measured. Buildups (30) in the exhaust line affect heat flow to the sensor and are measurable as a decline in sensed temperature. Structural failure of the coating in the exhaust line leads to the eventual leveling off and fluctuation of the temperature measurement. Comparison or correlation of the sensed thermal property or a profile thereof with data stored under known exhaust line conditions is used to determine the condition of the exhaust line and signal when cleaning is most appropriate.

Classes IPC  ?

  • H01L 21/00 - Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de dispositifs à semi-conducteurs ou de dispositifs à l'état solide, ou bien de leurs parties constitutives

54.

BATCH PHOTORESIST DRY STRIP AND ASH SYSTEM AND PROCESS

      
Numéro d'application US2006043350
Numéro de publication 2007/056369
Statut Délivré - en vigueur
Date de dépôt 2006-11-06
Date de publication 2007-05-18
Propriétaire
  • TOKYO ELECTRON LIMITED (Japon)
  • TOKYO ELECTRRON AMERICA, INC. (USA)
Inventeur(s) Raymond, Joe

Abrégé

Photoresist stripping is provided that employs batch processing to maximize throughput and an upstream plasma activation source using vapor or gas processing to efficiently create reactive species and minimize chemical consumption. An upstream plasma activation source (196) efficiently creates reactive species remote from the photoresist on the substrate surfaces (40). Either a remote plasma generator (196) upstream of the processing chamber or an integrated plasma unit (297) within the processing chamber (235) upstream of the processing volume may be used. Plasma processing gas (198) is introduced from a side of a stack of wafers (135) and flows across the wafers (40). Processing gas may be forced across the surfaces of the wafers (40) in the column to an exhaust (180) on the opposite side of the column, and the column may be rotated. An upstream plasma activation source (196) enables a strip process to occur at low temperatures, for example below 600 degrees C, which are particularly advantageous in BEOL process flow. Integrated processes that combine dry and wet-like sequential processes are also provided. Oxidizing, reducing or fluorine-containing plasma can be employed. Wet stripping, using, for example, wafer vapor or ozone or both may be included, simultaneously or sequentially.

Classes IPC  ?

  • G03F 7/42 - Élimination des réserves ou agents à cet effet
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/311 - Gravure des couches isolantes