Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Lee, Tung Ying
Chang, Kai-Tai
Hsiao, Meng-Hsuan
Abrégé
The current disclosure describes techniques for forming gate-all-around (“GAA”) devices from stacks of separately formed nanowire semiconductor strips. The separately formed nanowire semiconductor strips are tailored for the respective GAA devices. A trench is formed in a first stack of epitaxy layers to define a space for forming a second stack of epitaxy layers. The trench bottom is modified to have determined or known parameters in the shapes or crystalline facet orientations. The known parameters of the trench bottom are used to select suitable processes to fill the trench bottom with a relatively flat base surface.
H10D 84/03 - Fabrication ou traitement caractérisés par l'utilisation de technologies basées sur les matériaux utilisant une technologie du groupe IV, p. ex. technologie au silicium ou au carbure de silicium [SiC]
2.
NON-VOLATILE MEMERY CELL AND METHOD OF FORMING THE SAME
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
Shen, Wen-Chao
Abrégé
Embodiments the present disclosure provide a MTP memory cell and methods for forming the same. The MTP memory cell includes a FinFET transistor having a storage node formed around the channel region and a metal gate electrode around the storage node. The memory cell may be implemented by either n-channel transistor or p-channel transistor.
H01L 29/792 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée à isolant de grille à emmagasinage de charges, p.ex. transistor de mémoire MNOS
G11C 16/04 - Mémoires mortes programmables effaçables programmables électriquement utilisant des transistors à seuil variable, p. ex. FAMOS
G11C 16/10 - Circuits de programmation ou d'entrée de données
G11C 16/14 - Circuits pour effacer électriquement, p. ex. circuits de commutation de la tension d'effacement
H01L 29/66 - Types de dispositifs semi-conducteurs
H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
H10B 43/35 - Dispositifs EEPROM avec des isolants de grille à piégeage de charge caractérisés par la région noyau de mémoire avec transistors de sélection de cellules, p. ex. NON-ET
Taiwan Semiconductor Manufacturing Company LTD (Taïwan, Province de Chine)
Inventeur(s)
Weng, Ming-Hui
Lai, Wei-Han
Chang, Ching-Yu
Abrégé
A photoresist composition comprises an organic polymer and a floatable polymer. The floatable polymer has a lower surface energy than the organic polymer. Upon curing, the floatable polymer forms a surface layer above the photoresist layer formed by the organic polymer. The presence of the surface layer reduces optical flare and chemical flare, thus improving the critical dimension of the features formed in a material layer below the photoresist layer.
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Lin, Tzu-Cheng
Wang, Chien Rhone
Zuo, Kewei
Lee, Ming-Tan
Liu, Zi-Jheng
Abrégé
The current disclosure describes techniques for managing vertical alignment or overlay in semiconductor manufacturing using machine learning. Alignments of interconnection features in a fan-out WLP process are evaluated and managed through the disclosed techniques. Big data and machine learning are used to train a classification that correlates the overlay error source factors with overlay metrology categories. The overlay error source factors include tool signals. The trained classification includes a base classification and a Meta classification.
G03F 7/00 - Production par voie photomécanique, p. ex. photolithographique, de surfaces texturées, p. ex. surfaces impriméesMatériaux à cet effet, p. ex. comportant des photoréservesAppareillages spécialement adaptés à cet effet
G06F 30/27 - Optimisation, vérification ou simulation de l’objet conçu utilisant l’apprentissage automatique, p. ex. l’intelligence artificielle, les réseaux neuronaux, les machines à support de vecteur [MSV] ou l’apprentissage d’un modèle
G06F 30/398 - Vérification ou optimisation de la conception, p. ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chen, Ting-Gang
Lin, Wan-Hsien
Wang, Chieh-Ping
Huang, Tai-Chun
Chui, Chi On
Abrégé
A gate stack can be etched to form a trench extending through the gate stack, the trench removing a portion of the gate stack to separate the gate stack into a first gate stack portion and a second gate stack portion. A dielectric material is deposited in the trench to form a dielectric region, the dielectric region having an air gap in the dielectric material. The air gap may extend upward from beneath the gate stack to an area interposed between the end of the first gate stack portion and the end of the second gate stack portion. Contacts to the first gate stack portion and contacts to the second gate stack portion may be formed which are electrically isolated from each other by the dielectric material and air gap formed therein.
H01L 23/535 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions internes, p. ex. structures d'interconnexions enterrées
H10D 62/10 - Formes, dimensions relatives ou dispositions des régions des corps semi-conducteursFormes des corps semi-conducteurs
H10D 84/03 - Fabrication ou traitement caractérisés par l'utilisation de technologies basées sur les matériaux utilisant une technologie du groupe IV, p. ex. technologie au silicium ou au carbure de silicium [SiC]
H10D 84/85 - Transistors IGFET complémentaires, p. ex. CMOS
6.
THREE DIMENSIONAL MEMORY DEVICE AND METHOD FOR MANUFACTURING THE SAME
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. (Taïwan, Province de Chine)
Inventeur(s)
Akarvardar, Murat Kerem
Peng, Xiaochen
Abrégé
A 3D memory device is provided. The 3D memory device includes a first logic base layer, a second layer, and a third layer. The first logic base layer comprises a first type DEMUX, a plurality of second type DEMUXs coupled to the first type DEMUX, a first type MUX, and a plurality of second type MUXs coupled to the first type MUX. The second layer comprises a first group of memory units. Each of the first group of memory units is respectively coupled to a corresponding DEMUX of the plurality of second type DEMUXs and a corresponding MUX of the plurality of second type MUXs. The third layer comprises a second group of memory units. Each of the second group of memory units is respectively coupled to a corresponding DEMUX of the plurality of second type DEMUXs and a corresponding MUX of the plurality of second type MUXs.
Taiwan Semiconductor Manufacturing Company Limited (Taïwan, Province de Chine)
Inventeur(s)
Lin, Yu-Chu
Jen, Chi-Chung
Chiang, Wen-Chih
Liu, Yi-Ling
Tung, Huai-Jen
Liao, Keng-Ying
Abrégé
A flash memory device and method of making the same are disclosed. The flash memory device is located on a substrate and includes a floating gate electrode, a tunnel dielectric layer located between the substrate and the floating gate electrode, a smaller length control gate electrode and a control gate dielectric layer located between the floating gate electrode and the smaller length control gate electrode. The length of a major axis of the smaller length control gate electrode is less than a length of a major axis of the floating gate electrode.
H01L 21/265 - Bombardement par des radiations ondulatoires ou corpusculaires par des radiations d'énergie élevée produisant une implantation d'ions
H10B 41/30 - Dispositifs de mémoire morte reprogrammable électriquement [EEPROM] comprenant des grilles flottantes caractérisés par la région noyau de mémoire
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Liou, Peng-Chun
Wu, Zhiqiang
Wu, Chung-Wei
Liu, Yi-Ching
Wang, Yih
Abrégé
A semiconductor device comprises a first conductive structure extending along a vertical direction and a second conductive structure extending along the vertical direction. The second conductive structure is spaced apart from the first conductive structure along a lateral direction. The semiconductor device further comprises a plurality of third conductive structures each extending along the lateral direction. The plurality of third conductive structures are disposed across the first and second conductive structures. The first and second conductive structures each have a varying width along the lateral direction. The plurality of third conductive structures are configured to be applied with respective different voltages in accordance with the varying width of the first and second conductive structures.
G11C 11/22 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliersÉléments d'emmagasinage correspondants utilisant des éléments électriques utilisant des éléments ferro-électriques
H10B 51/20 - Dispositifs de RAM ferro-électrique [FeRAM] comprenant des transistors ferro-électriques de mémoire caractérisés par les agencements tridimensionnels, p. ex. avec des cellules à des niveaux différents de hauteur
Taiwan Semiconductor Manufacturing Company. Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Lin, Yan-Ting
Kuo, Chien-I
Yu, Ming-Hua
Li, Chii-Horng
Abrégé
The present disclosure describes a semiconductor device having a source/drain structure with a dopant cluster. The semiconductor device includes a channel structure on a substrate and a source/drain structure on the substrate and adjacent to the channel structure. The source/drain structure includes a first epitaxial layer on the substrate, a second epitaxial layer on the first epitaxial layer and sidewalls of the channel structure, and a third epitaxial layer on the second epitaxial layer. The second epitaxial layer includes a cluster of a dopant extending along a direction of the channel structure.
H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
H01L 29/66 - Types de dispositifs semi-conducteurs
H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Pao, Chia-Hao
Wang, Ping-Wei
Hung, Lien-Jung
Chang, Feng-Ming
Lin, Yu-Kuan
Chang, Jui-Wen
Abrégé
An electronic memory device includes a memory-cell circuit. The electronic memory device also includes a non-memory-cell circuit. The non-memory cell circuit includes an active region. The active region extends in a first direction in a top view. The active region includes a first segment and a second segment. The first segment has a first dimension measured in a second direction in the top view. The second segment has a second dimension measured in the second direction different from the first direction in the top view. The second dimension is different from the first dimension.
H10B 10/00 - Mémoires statiques à accès aléatoire [SRAM]
H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
H01L 29/66 - Types de dispositifs semi-conducteurs
H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. (Taïwan, Province de Chine)
Inventeur(s)
Jiang, Zhe-Wei
Kao, Jerry Chang Jui
Yeh, Sung-Yen
Hsu, Li Chung
Abrégé
A method performed by at least one processor includes the following steps: generating a layout of an integrated circuit (IC), the layout including a cell and a layout context in a vicinity of the cell; determining a representative context group for the cell from a set of predetermined context groups; determining a representative timing table corresponding to the representative context group, the representative timing table including a best-case delay value and a worst-case delay value; and performing a timing analysis on the layout according to the best-case delay value and the worst-case delay value.
G06F 30/398 - Vérification ou optimisation de la conception, p. ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Liu, Yen-Ming
Wang, Li-Chun
Meng, Chin-Hau
Duan, Ren-Guan
Abrégé
Some implementations described herein provide an etch tool having a nozzle component. The nozzle component (e.g., a gas injector component) includes a spinel-based composite material that has an increased resistivity to damage and/or debris generation within the etch tool during a plasma-based etch operation that etches material from a semiconductor substrate. The increased resistivity may decrease contamination within the etch tool to increase a yield of integrated circuit devices on the semiconductor substrate relative to another semiconductor substrate that is etched using another etch tool having another nozzle component of another material.
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
H01J 37/32 - Tubes à décharge en atmosphère gazeuse
13.
SEMICONDUCTOR DEVICE STRUCTURE AND METHODS OF FORMING THE SAME
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
Yu, Ke-Jing
Lin, Yen-Liang
Hsiao, Ru-Shang
Abrégé
A semiconductor device structure and methods of forming the same are described. In some embodiments, the structure includes a first region including a gate electrode disposed over a semiconductor fin, a second region, and a border region disposed between the first and second regions. The border region includes a metal-insulator-metal (MIM) structure, and the MIM structure includes a first conductive layer disposed over the semiconductor fin, a first dielectric layer in contact with the first conductive layer, and a second conductive layer in contact with the first dielectric layer. A top surface of the second conductive layer and a top surface of the gate electrode may be substantially co-planar.
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chih, Yu-Der
Lee, Chia-Fu
Abrégé
A method for performing an in-memory computation includes: storing data in memory cells of a memory array, the data including weights for computation; determining whether an update command to change at least one of the weights is received; in response to receiving the update command, performing a write operation on the memory array to update the at least one weight; and disabling the write operation on the memory array until receiving a next update command to change the at least one weight.
G11C 7/10 - Dispositions d'interface d'entrée/sortie [E/S, I/O] de données, p. ex. circuits de commande E/S de données, mémoires tampon de données E/S
G11C 7/12 - Circuits de commande de lignes de bits, p. ex. circuits d'attaque, de puissance, de tirage vers le haut, d'abaissement, circuits de précharge, circuits d'égalisation, pour lignes de bits
G11C 8/08 - Circuits de commande de lignes de mots, p. ex. circuits d'attaque, de puissance, de tirage vers le haut, d'abaissement, circuits de précharge, pour lignes de mots
G11C 11/4074 - Circuits d'alimentation ou de génération de tension, p. ex. générateurs de tension de polarisation, générateurs de tension de substrat, alimentation de secours, circuits de commande d'alimentation
15.
ROBOT BLADE FOR WAFERS AND WORKPIECES & THIN WAFERS AND WORKPIECES
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Huang, Hsin-Kai
Cho, Kuei-Hsiung
Tseng, Fu-Kuo
Chan, Chun-Jen
Chen, Chun Yan
Abrégé
A cross-shape transfer blade is configured to, in operation, be mounted or coupled to an end of a transfer robot arm (TRA). The cross-shape robot or transfer blade includes a plurality of raised regions to contact a backside of a workpiece or thin workpiece such that the cross-shape transfer blade supports and transports the respective workpeice between various locations within a semiconductor manufacturing plant (FAB). The cross-shape transfer blade includes a first prong structure, a second prong structure, a first wing structure, and a second wing structure. Respective ones of the plurality of raised regions are at corresponding ones of the first prong structure, the second prong structure, the first wing structure, and the second wing structure minimizing contact between the backside of the workpiece or thin workpiece and the cross-shape transfer blade when the workpiece or thin workpiece is being transferred, transported, and supported by the cross-shape transfer blade.
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Hung, Chih-Chang
Chen, Chia-Jen
Chang, Ming-Ching
Ku, Shu-Yuan
Hsiao, Yi-Hsuan
Yang, I-Wei
Abrégé
Methods of cutting gate structures, and structures formed, are described. In an embodiment, a structure includes first and second gate structures over an active area, and a gate cut-fill structure. The first and second gate structures extend parallel. The active area includes a source/drain region disposed laterally between the first and second gate structures. The gate cut-fill structure has first and second primary portions and an intermediate portion. The first and second primary portions abut the first and second gate structures, respectively. The intermediate portion extends laterally between the first and second primary portions. First and second widths of the first and second primary portions along longitudinal midlines of the first and second gate structures, respectively, are each greater than a third width of the intermediate portion midway between the first and second gate structures and parallel to the longitudinal midline of the first gate structure.
H10D 84/83 - Dispositifs intégrés formés dans ou sur des substrats semi-conducteurs qui comprennent uniquement des couches semi-conductrices, p. ex. sur des plaquettes de Si ou sur des plaquettes de GaAs-sur-Si caractérisés par l'intégration d'au moins un composant couvert par les groupes ou , p. ex. l'intégration de transistors IGFET de composants à effet de champ uniquement de transistors FET à grille isolée [IGFET] uniquement
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
H01L 21/283 - Dépôt de matériaux conducteurs ou isolants pour les électrodes
H01L 21/3213 - Gravure physique ou chimique des couches, p. ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable
H10D 30/60 - Transistors à effet de champ à grille isolée [IGFET]
H10D 62/00 - Corps semi-conducteurs, ou régions de ceux-ci, de dispositifs ayant des barrières de potentiel
H10D 62/10 - Formes, dimensions relatives ou dispositions des régions des corps semi-conducteursFormes des corps semi-conducteurs
H10D 62/13 - Régions semi-conductrices connectées à des électrodes transportant le courant à redresser, amplifier ou commuter, p. ex. régions de source ou de drain
H10D 64/27 - Électrodes ne transportant pas le courant à redresser, à amplifier, à faire osciller ou à commuter, p. ex. grilles
H10D 64/66 - Électrodes ayant un conducteur couplé capacitivement à un semi-conducteur par un isolant, p. ex. électrodes du type métal-isolant-semi-conducteur [MIS]
H10D 84/03 - Fabrication ou traitement caractérisés par l'utilisation de technologies basées sur les matériaux utilisant une technologie du groupe IV, p. ex. technologie au silicium ou au carbure de silicium [SiC]
17.
INTEGRATED CIRCUIT PACKAGES AND METHODS OF FORMING THE SAME
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Wu, Yao-Cheng
Lin, Hua-Kai
Hou, Hao-Cheng
Wang, Tsung-Ding
Tsai, Hao-Yi
Abrégé
In an embodiment, a device includes: an interposer including: a back-side redistribution structure; an interconnection die over the back-side redistribution structure, the interconnection die including a substrate, a through-substrate via protruding from the substrate, and an isolation layer around the through-substrate via; a first encapsulant around the interconnection die, a surface of the first encapsulant being substantially coplanar with a surface of the isolation layer and a surface of the through-substrate via; and a front-side redistribution structure over the first encapsulant, the front-side redistribution structure including a first conductive via that physically contacts the through-substrate via, the isolation layer separating the first conductive via from the substrate.
H01L 23/48 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p. ex. fils de connexion ou bornes
H01L 21/56 - Encapsulations, p. ex. couches d’encapsulation, revêtements
H01L 23/31 - Encapsulations, p. ex. couches d’encapsulation, revêtements caractérisées par leur disposition
H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chen, Chung-Lei
Chen, Cheng-Hsin
Ting, Chung Chieh
Lin, Che-Yi
Lee, Clark
Abrégé
One or more semiconductor processing tools may form a deep trench within a silicon wafer. The one or more semiconductor processing tools may deposit a first insulating material within the deep trench. The one or more semiconductor processing tools may form, after forming the deep trench with the silicon wafer, a shallow trench above the deep trench. The one or more semiconductor processing tools may deposit a second insulating material within the shallow trench.
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chen, Yi-Ren
Chang, Shih-Hsun
Liaw, Jhon-Jhy
Abrégé
A method for forming a semiconductor structure is provided. The method includes forming a source/drain feature over an active region, forming a gate stack across the active region, forming an interlayer dielectric layer over the source/drain feature, and etching the interlayer dielectric layer to form an opening exposing the source/drain feature. The opening has a first sidewall extending in a first horizontal direction and a second sidewall extending in a second horizontal direction. The method also includes forming a contact liner along the opening, and forming a contact plug in the opening. A first portion of the contact liner along the first sidewall of the opening is thinner than a second portion of the contact liner along the second sidewall of the opening.
H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
H01L 29/66 - Types de dispositifs semi-conducteurs
H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
Taiwan Semiconductor Manufacturing Company Limited (Taïwan, Province de Chine)
Inventeur(s)
Hsieh, Feng-Chien
Cheng, Yun-Wei
Lee, Kuo-Cheng
Chen, Hsin-Chi
Abrégé
An image sensor can be provided by: forming an array of image pixels on a semiconductor substrate; forming black level correction (BLC) pixels adjacent to the array of image pixels on the semiconductor substrate; forming a patterned layer stack over the array of image pixels and over the BLC pixels, wherein the patterned layer stack includes N repetitions of a unit layer stack in which N instances of the unit layer stack are repeated along a vertical direction; forming an optically transparent layer over an entirety of the patterned layer stack, wherein the optically transparent layer has a planar top surface; forming an infrared blocking material layer over the optically transparent layer; and patterning the infrared blocking material layer. A remaining portion of the infrared blocking material layer covers the BLC pixels, and does not cover the array of image pixels.
H10F 39/00 - Dispositifs intégrés, ou ensembles de plusieurs dispositifs, comprenant au moins un élément couvert par le groupe , p. ex. détecteurs de rayonnement comportant une matrice de photodiodes
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Kao, Wan-Yi
Liao, Fang-Yi
Liao, Shu Ling
Huang, Yen-Chun
Chang, Che-Hao
Lu, Yung-Cheng
Chui, Chi On
Abrégé
Semiconductor devices including fin-shaped isolation structures and methods of forming the same are disclosed. In an embodiment, a semiconductor device includes a fin extending from a semiconductor substrate; a shallow trench isolation (STI) region over the semiconductor substrate adjacent the fin; and a dielectric fin structure over the STI region, the dielectric fin structure extending in a direction parallel to the fin, the dielectric fin structure including a first liner layer in contact with the STI region; and a first fill material over the first liner layer, the first fill material including a seam disposed in a lower portion of the first fill material and separated from a top surface of the first fill material, a first carbon concentration in the lower portion of the first fill material being greater than a second carbon concentration in an upper portion of the first fill material.
H10D 84/03 - Fabrication ou traitement caractérisés par l'utilisation de technologies basées sur les matériaux utilisant une technologie du groupe IV, p. ex. technologie au silicium ou au carbure de silicium [SiC]
H10D 84/83 - Dispositifs intégrés formés dans ou sur des substrats semi-conducteurs qui comprennent uniquement des couches semi-conductrices, p. ex. sur des plaquettes de Si ou sur des plaquettes de GaAs-sur-Si caractérisés par l'intégration d'au moins un composant couvert par les groupes ou , p. ex. l'intégration de transistors IGFET de composants à effet de champ uniquement de transistors FET à grille isolée [IGFET] uniquement
22.
INTERCONNECT STRUCTURE WITH REINFORCING SPACER AND METHOD FOR MANUFACTURING THE SAME
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
Liao, Wei-Hao
Tien, Hsi-Wen
Lu, Chih-Wei
Chu, Hwei-Jay
Dai, Yu-Teng
Yao, Hsin-Chieh
Wu, Yung-Hsu
Su, Li-Ling
Su, Chia-Wei
Chen, Hsin-Ping
Abrégé
A method for manufacturing an interconnect structure includes: forming a first dielectric layer; forming a mask; patterning the first dielectric layer through the mask to form a trench, an inner surface of the trench having two first portions opposite to each other along an X direction, two second portions opposite to each other along a Y direction, and a bottom portion; forming a second dielectric layer over the mask and the patterned first dielectric layer, and along an inner surface of the trench; etching the second dielectric layer by directing an etchant in a predetermined direction such that a first part of the second dielectric layer on the two first portions and the bottom portion is removed, and a second part of the second dielectric layer on the second portions of the trench remains and is formed into two reinforcing spacers; and forming a trench-filling element.
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chen, Shih-Cheng
Lin, Zhi-Chang
Chang, Jung-Hung
Yao, Chien-Ning
Chuang, Tsung-Han
Chiang, Kuo-Cheng
Wang, Chih-Hao
Abrégé
A device includes a substrate. A first channel region of a first transistor overlies the substrate and a source/drain region is in contact with the first channel region. The source/drain region is adjacent to the first channel region along a first direction, and the source/drain region has a first surface opposite the substrate and side surfaces extending from the first surface. A dielectric fin structure is adjacent to the source/drain region along a second direction that is transverse to the first direction, and the dielectric fin structure has an upper surface, a lower surface, and an intermediate surface that is disposed between the upper and lower surfaces. A silicide layer is disposed on the first surface and the side surfaces of the source/drain region and on the intermediate surface of the dielectric fin structure.
H10D 84/83 - Dispositifs intégrés formés dans ou sur des substrats semi-conducteurs qui comprennent uniquement des couches semi-conductrices, p. ex. sur des plaquettes de Si ou sur des plaquettes de GaAs-sur-Si caractérisés par l'intégration d'au moins un composant couvert par les groupes ou , p. ex. l'intégration de transistors IGFET de composants à effet de champ uniquement de transistors FET à grille isolée [IGFET] uniquement
24.
IMPROVED SURFACE PRE-TREATMENT FOR SEMICONDUCTOR DEVICE BONDING STRUCTURES AND METHODS OF FORMING THE SAME
Taiwan Semiconductor Manufacturing Company Limited (Taïwan, Province de Chine)
Inventeur(s)
Eitan, Amram
Kao, Chin-Fu
Abrégé
An embodiment semiconductor structure may include a first component having first electrical bonding structures formed within a first dielectric layer, a second component having second electrical bonding structures formed with a second dielectric layer, and an organic base layer formed between the first dielectric layer and the second dielectric layer. The organic base layer may include carbon chain structures such that the first dielectric layer is bonded to the second dielectric layer with bonds formed between the first dielectric layer, the organic base layer, and the second dielectric layer. The carbon chain structures may be characterized by a carbon number that is between 10 and 1000 and a hydrogen to carbon ratio H/C that is greater than 2 such that the organic base layer has a thickness that is 0.5 nm to 30 nm. The carbon chain structures may include functional groups that form bonds between the carbon chain structures.
H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
25.
SEMICONDUCTOR PACKAGE AND METHOD OF MANUFACTURING THE SAME
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Wu, Jiun-Yi
Yu, Chen-Hua
Liu, Chung-Shi
Liang, Yu-Min
Abrégé
A method of manufacturing a semiconductor package includes the following steps. A first redistribution layer structure is formed over a circuit board structure. A through via is formed over the first redistribution layer structure. A first die is mounted onto the first redistribution layer structure aside the through via. A first encapsulant is formed to encapsulate the first die and the through via, wherein surfaces of the first encapsulant, the first die and the through via are substantially coplanar.
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
Lee, Chia-Chen
Wu, Chia-Tien
Chu, Wei-Chen
Tien, Hsi-Wen
Tzeng, Wei-Cheng
Huang, Ching-Yu
Lin, Wei-Cheng
Hsieh, Ken-Hsien
Abrégé
A method of semiconductor fabrication includes forming a plurality of mandrel recesses in a mandrel layer over a hard mask layer, performing a first patterning process on a spacer layer that is deposited over the mandrel layer to form a first opening pattern, performing a second patterning process to etch portions of the mandrel layer to form a second opening pattern, performing a third patterning process to form a third opening pattern in the hard mask layer based on the first opening pattern and the second opening pattern, and forming, through the hard mask layer, metal lines that are in a semiconductor layer under the hard mask layer and that are arranged in a pattern which corresponds to the third opening pattern.
H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Su, Li-Ling
Su, Chia-Wei
Kuo, Tsu-Chun
Liao, Wei-Hao
Chen, Hsin-Ping
Wu, Yung-Hsu
Lee, Ming-Han
Yang, Shin-Yi
Lu, Chih Wei
Tien, Hsi-Wen
Lu, Meng-Pei
Abrégé
A semiconductor device includes a semiconductor substrate, a gate structure, strained layers, source/drain contact patterns, a gate contact via, and source/drain contact vias. The gate structure is disposed over the semiconductor substrate. The strained layers are disposed aside the gate structure. The source/drain contact patterns are disposed on and electrically connected to the strained layers. Top surfaces of the source/drain contact patterns are coplanar with a top surface of the gate structure. The gate contact via is disposed on and electrically connected to the gate structure. The source/drain contact vias are disposed on and electrically connected to the source/drain contact patterns.
H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Lin, Ming-Huei
Cheng, Kai-Yuan
Tsao, Chih-Pin
Peng, Hsing-Kan
Chang, Shih-Hsun
Wang, Shu-Hui
Yeh, Jeng-Ya
Abrégé
Methods for forming a gate structure of a multi-gate device are provided. An example method includes depositing a gate dielectric layer over first nanostructures over a first region of a substrate and second nanostructures over a second region of the substrate, depositing a first work function metal (WFM) layer over the first nanostructures and the second nanostructures, depositing a first hard mask (HM) layer over the first WFM layer, selectively removing the first HM layer and the first WFM layer over the first region, selectively removing the first HM layer over the second region, depositing a second WFM layer over the substrate, depositing a second HM layer over the second WFM layer, selectively removing the second HM layer and the second WFM layer over the first region, selectively removing the second HM layer over the second region, and depositing a third WFM layer over the substrate.
H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
H01L 29/66 - Types de dispositifs semi-conducteurs
H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Wang, Ping-Wei
Chang, Feng-Ming
Chen, Jui-Lin
Abrégé
A semiconductor structure according to the present disclosure includes a first memory cell that includes a first pull-down transistor and a first pull-up transistor sharing a first gate structure extending along a first direction, a second pull-down transistor and a second pull-up transistor sharing a second gate structure extending along the first direction, a first pass-gate transistor having a third gate structure spaced apart but aligned with the second gate structure along the first direction, and a second pass-gate transistor having a fourth gate structure spaced apart but aligned with the first gate structure along the first direction, a frontside interconnect structure disposed over the first memory device, a backside interconnect structure disposed below the first memory device. A source of the second pull-down transistor is electrically coupled to the backside interconnect structure by way of a first backside contact via.
H01L 23/48 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p. ex. fils de connexion ou bornes
H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
Lin, Yu-Chang
Liu, Sih-Jie
Ho, Po-Kang
Chen, Liang-Yin
Huang, Tsai-Yu
Chui, Chi On
Abrégé
A method for manufacturing a semiconductor device is provided. The method includes forming a plurality of semiconductor layers vertically stacked over a substrate, wherein the semiconductor layers are vertically spaced apart from each other; forming a source/drain epitaxial structure on sides of the semiconductor layers, wherein the source/drain epitaxial structure is doped with a p-type doping species; implanting fluorine ions into the source/drain epitaxial structure; after implanting fluorine ions into the source/drain epitaxial structure, performing an annealing process to diffuse the p-type doping species into a side region of a topmost one of the semiconductor layers; and forming a source/drain contact over the source/drain epitaxial structure.
H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
H01L 21/225 - Diffusion des impuretés, p. ex. des matériaux de dopage, des matériaux pour électrodes, à l'intérieur ou hors du corps semi-conducteur, ou entre les régions semi-conductricesRedistribution des impuretés, p. ex. sans introduction ou sans élimination de matériau dopant supplémentaire en utilisant la diffusion dans ou hors d'un solide, à partir d'une ou en phase solide, p. ex. une couche d'oxyde dopée
H01L 21/265 - Bombardement par des radiations ondulatoires ou corpusculaires par des radiations d'énergie élevée produisant une implantation d'ions
H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
H01L 29/66 - Types de dispositifs semi-conducteurs
H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chang, Chih-Kuo
Wu, Cheng-Lung
Shiu, Ting-Yau
Lee, Wei-Chen
Chu, Yang-Ann
Pai, Jiun-Rong
Abrégé
A portable robotic semiconductor pod loader may detect, with at least one sensor, receipt of a semiconductor pod on a load port of the portable robotic semiconductor pod loader. The at least one sensor is supported by the load port. The portable robotic semiconductor pod loader may cause a robot, of the portable robotic semiconductor pod loader, to align with the semiconductor pod provided on the load port. The portable robotic semiconductor pod loader may cause the robot to attach to the semiconductor pod, and may cause the robot to provide the semiconductor pod from the load port to a staging area of a semiconductor processing tool.
H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p. ex. entre différents postes de travail
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Shao, Tung-Liang
Huang, Yu-Sheng
Yu, Chen-Hua
Abrégé
A package includes an interposer, wherein the interposer includes a first waveguide and a first reflector that is optically coupled to the first waveguide; an optical package attached to the interposer, wherein the optical package includes a second waveguide; and a second reflector that is optically coupled to the second waveguide, wherein the second reflector is vertically aligned with the first reflector.
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Lin, Meng-Hsien
Wang, Jaio-Wei
Liu, Ko Chun
Lin, Hsing-Chih
Liu, Jen-Cheng
Yaung, Dun-Nian
Abrégé
Some embodiments relate to a method that includes depositing a first layer of hard mask material over a layer of dielectric material; etching the first layer of the hard mask material, the etched first layer of hard mask material including an etched portion having a first lateral dimension; depositing a second layer of the hard mask material over the first layer of the hard mask material; etching at least a portion of the second layer of the hard mask material, while allowing a remaining portion of the hard mask material, to expose a portion of the layer of the dielectric material that has a second lateral dimension less than the first lateral dimension; and etching a trench into the layer of the dielectric material at the exposed portion of the layer of the dielectric material.
H01L 27/06 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant une pluralité de composants individuels dans une configuration non répétitive
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
NATIONAL TSING HUA UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
King, Ya-Chin
Lin, Chrong Jung
Lin, Burn Jeng
Wang, Shi-Jiun
Abrégé
A device includes a detector transistor, a sensing pad, a first conductive ring, a second conductive ring, a first transistor, and a second transistor. The sensing pad is over the detector transistor. The first conductive ring is over the sending pad. The second conductive ring is over the first conductive ring. The first transistor has a source/drain region electrically coupled to the first conductive ring. The second transistor has a source/drain region electrically coupled to the second conductive ring.
Taiwan Semiconductor Manufacturing Company Limited (Taïwan, Province de Chine)
Inventeur(s)
Chiang, Katherine H.
Abrégé
Various aspects include methods and devices for implementing the methods for error checking a memory system. Aspects may include receiving, from a row buffer of a memory, access data corresponding to a column address of a memory access, in which the row buffer has data of an activation unit of the memory corresponding to a row address of the memory access, determining multiple error correction codes (ECCs) for the access data using the column address, and checking the access data for an error utilizing at least one of the multiple ECCs. In some aspects, the multiple ECCs may include a first ECC having data from an access unit of the memory corresponding with the column address, and at least one second ECC having data from the access unit and data from the activation unit other than from the access unit.
G11C 29/42 - Dispositifs de vérification de réponse utilisant des codes correcteurs d'erreurs [ECC] ou un contrôle de parité
G06F 11/07 - Réaction à l'apparition d'un défaut, p. ex. tolérance de certains défauts
G06F 11/10 - Détection ou correction d'erreur par introduction de redondance dans la représentation des données, p. ex. en utilisant des codes de contrôle en ajoutant des chiffres binaires ou des symboles particuliers aux données exprimées suivant un code, p. ex. contrôle de parité, exclusion des 9 ou des 11
G11C 7/10 - Dispositions d'interface d'entrée/sortie [E/S, I/O] de données, p. ex. circuits de commande E/S de données, mémoires tampon de données E/S
G11C 29/18 - Dispositifs pour la génération d'adressesDispositifs pour l'accès aux mémoires, p. ex. détails de circuits d'adressage
36.
SEMICONDUCTOR STRUCTURE AND METHOD FOR FORMING THE SAME
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chang, Feng-Ming
Chen, Jui-Lin
Wang, Ping-Wei
Yeap, Choh Fei
Wu, Yu-Bey
Abrégé
A method for forming a semiconductor structure is provided. The method includes forming a first active region in which first semiconductor layers and second semiconductor layers are alternatingly stacked over a first lower fin element. In a plan view, the active region includes a first portion and a second portion narrower than the first portion. The method also includes removing the first semiconductor layers of the first active region. The second semiconductor layers of the first portion of the first active region form first nanostructures, and the second semiconductor layers of the second portion of the first active region form second nanostructures. The method also includes forming a first gate stack to surround the first nanostructures, and forming a second gate stack to surround the second nanostructures.
H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
H01L 29/66 - Types de dispositifs semi-conducteurs
H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
NATIONAL TSING HUA UNIVERSITY (Taïwan, Province de Chine)
Inventeur(s)
Chang, Meng-Fan
Chiu, Yen-Cheng
Abrégé
A memory includes a memory device, a reading device and a feedback device. The memory device stores a plurality of bits. The reading device includes first and second reading circuits coupled to the memory device. The second reading circuit is coupled to the first reading circuit at a first node. The first and second reading circuits cooperates with each other to generate a first voltage signal at the first node based on at least one first bit of the plurality of bits. The feedback device adjusts at least one of the first reading circuit or the second reading circuit based on the first voltage signal. The first and second reading circuits generate a second voltage signal, different from the first voltage signal, corresponding to the bits, after the at least one of the first reading circuit or the second reading circuit is adjusted by the feedback device.
H03K 19/20 - Circuits logiques, c.-à-d. ayant au moins deux entrées agissant sur une sortieCircuits d'inversion caractérisés par la fonction logique, p. ex. circuits ET, OU, NI, NON
38.
Photonic Semiconductor Device and Method of Manufacture
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Weng, Chung-Ming
Yu, Chen-Hua
Liu, Chung-Shi
Tsai, Hao-Yi
Hsieh, Cheng-Chieh
Kuo, Hung-Yi
Yu, Tsung-Yuan
Lin, Hua-Kuei
Hsu, Che-Hsiang
Abrégé
A package includes a photonic layer on a substrate, the photonic layer including a silicon waveguide coupled to a grating coupler; an interconnect structure over the photonic layer; an electronic die and a first dielectric layer over the interconnect structure, where the electronic die is connected to the interconnect structure; a first substrate bonded to the electronic die and the first dielectric layer; a socket attached to a top surface of the first substrate; and a fiber holder coupled to the first substrate through the socket, where the fiber holder includes a prism that re-orients an optical path of an optical signal.
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Wei, Cheng-Yu
Lin, Cheng-I
Chen, Shu-Han
Chui, Chi On
Abrégé
The present disclosure describes a semiconductor device having a source/drain dielectric. The semiconductor device includes a channel structure on a substrate, a dielectric structure on the substrate and adjacent to the channel structure, and an epitaxial structure on a top surface of the dielectric structure. The epitaxial structure is in contact with the channel structure.
H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
H01L 29/66 - Types de dispositifs semi-conducteurs
H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Huang, Chen-Ming
Chen, Shih-Hsien
Yang, Yu-Hsiang
Abrégé
A semiconductor device includes a non-volatile memory structure. A layout of metallization layers in the semiconductor device coupled with the non-volatile memory structure is configured to achieve a low likelihood of electromigration in the non-volatile memory structure, particularly at operating temperature parameters associated with demanding applications such as automotive and/or industrial, among other examples. The non-volatile memory structure is electrically coupled with a first metallization layer. The first metallization layer electrically couples the non-volatile memory structure with a second metallization layer that is configured as a write bit line metallization layer for the non-volatile memory structure. The first metallization layer electrically couples the non-volatile memory structure with a third metallization layer above the second metallization layer. The third metallization layer is configured as a read bit line metallization layer for the non-volatile memory structure.
H10B 41/35 - Dispositifs de mémoire morte reprogrammable électriquement [EEPROM] comprenant des grilles flottantes caractérisés par la région noyau de mémoire avec un transistor de sélection de cellules, p. ex. NON-ET
41.
SEMICONDUCTOR STRUCTURES AND METHODS OF FORMING THE SAME
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Yu, Chih-Kuan
Hsu, Wen-I
Hung, Feng-Chi
Chen, Hsin-Hung
Liu, Jen-Cheng
Yaung, Dun-Nian
Abrégé
A p-type doping region around an isolation structure provides additional electrical isolation between pixel sensors of a pixel array. As a result, current leakage from a floating node of one pixel sensor into another is reduced. Therefore, dark current is reduced, and performance of the pixel array is improved. Additionally, pixel noise caused by electrons trapped in the isolation structure may be reduced.
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. (Taïwan, Province de Chine)
Inventeur(s)
Yang, Ming-Hsien
Hsu, Wen-I
Lu, Kuan-Fu
Hung, Feng-Chi
Liu, Jen-Cheng
Yaung, Dun-Nian
Chou, Chun-Hao
Lee, Kuo-Cheng
Abrégé
A semiconductor image-sensing structure includes a reflective grid and a reflective shield disposed over a substrate. The reflective grid is disposed in a first region, and the reflective shield is disposed in a second region separated from the first region. A thickness of the reflective shield is greater than a thickness of the reflective grid.
H10F 39/00 - Dispositifs intégrés, ou ensembles de plusieurs dispositifs, comprenant au moins un élément couvert par le groupe , p. ex. détecteurs de rayonnement comportant une matrice de photodiodes
H10F 39/18 - Capteurs d’images à semi-conducteurs d’oxyde de métal complémentaire [CMOS]Capteurs d’images à matrice de photodiodes
43.
SHOWER HEAD STRUCTURE AND PLASMA PROCESSING APPARATUS USING THE SAME
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. (Taïwan, Province de Chine)
Inventeur(s)
Chen, Huan-Chieh
Lin, Jhih-Ren
Liu, Tai-Pin
Tsai, Shyue-Shin
Koai, Keith Kuang-Kuo
Abrégé
A shower head structure and a plasma processing apparatus are provided. The shower head structure includes a plate body with a first zone and a second zone on a first surface. A plurality of first through holes are in the first zone, each of the first through holes having a diameter uniform with others of the first through holes. A plurality of second through holes are in the second zone. The first zone is in connection with the second zone, and the diameter of each of the first through holes is greater than a diameter of each of the second through holes. A plasma processing apparatus includes the shower head structure is also provided.
C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
C23C 16/46 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour le chauffage du substrat
C23C 16/50 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement au moyen de décharges électriques
44.
SEMICONDUCTOR DEVICE WITH NON-CONFORMAL WORK FUNCTION LAYERS AND METHODS OF FABRICATION THEREOF
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
Wang, Yi-Hong
Kung, Hui-Hsuan
Huang, Yi-Lii
Lin, Ying-Ru
Abrégé
Embodiments of the present disclosure provide a FinFET transistor having a gate structure including one or more non-conformal work function metal layers. In some embodiments, work function metal layers may be non-conformal in at least one of thickness, composition, and/or phases. The non-conformality in the work function metal layer lowers leakage, improve device performance, and increase device reliability.
H01L 29/66 - Types de dispositifs semi-conducteurs
H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
45.
SEMICONDUCTOR STRUCTURE AND METHOD FOR FORMING THE SAME
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Ng, Jin-Aun
Lin, Yu-Chao
Lee, Tung-Ying
Abrégé
A semiconductor structure is provided. The semiconductor structure includes a first active region and a second active region adjacent to the first active region, a first gate stack extending across the first active region in a first direction, an isolation feature extending across the second active region in the first direction; and a first gate-cut feature sandwiched between the first gate stack and the isolation feature.
H10D 84/83 - Dispositifs intégrés formés dans ou sur des substrats semi-conducteurs qui comprennent uniquement des couches semi-conductrices, p. ex. sur des plaquettes de Si ou sur des plaquettes de GaAs-sur-Si caractérisés par l'intégration d'au moins un composant couvert par les groupes ou , p. ex. l'intégration de transistors IGFET de composants à effet de champ uniquement de transistors FET à grille isolée [IGFET] uniquement
H01L 21/306 - Traitement chimique ou électrique, p. ex. gravure électrolytique
H10D 84/03 - Fabrication ou traitement caractérisés par l'utilisation de technologies basées sur les matériaux utilisant une technologie du groupe IV, p. ex. technologie au silicium ou au carbure de silicium [SiC]
46.
SYSTEMS AND METHODS FOR DUTY CYCLE CHARACTERIZATION
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Lu, Chung-Ting
Tai, Shu-Chin
Peng, Yung-Chow
Abrégé
A method includes coupling a first signal to an impedance; determining a first average current of the first signal through the impedance over a first time, the first average current corresponding to a duty cycle of the first signal; coupling a second signal to the impedance; determining a second average current of the second signal through the impedance over a second time, the second average current corresponding to a first duty cycle of the second signal; determining the first duty cycle from the first average current and the second average current; determining a third average current of the second signal through the impedance over a third time, the third average current corresponding to a second duty cycle of the second signal; determining the second duty cycle from the first average current and the third average current; and averaging the first duty cycle and the second duty cycle.
H03K 19/20 - Circuits logiques, c.-à-d. ayant au moins deux entrées agissant sur une sortieCircuits d'inversion caractérisés par la fonction logique, p. ex. circuits ET, OU, NI, NON
47.
PIXEL SENSORS AND METHODS OF MANUFACTURING THE SAME
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chang, Chih-Ping
Wang, Ming-I
Ting, Shyh-Fann
Abrégé
A metal grid of a pixel array may be patterned with different sized openings over photodiodes. As a result, a uniform pixel array of photodiodes with different sensitivities may be formed. For example, the pixel array may include low-sensitivity photodiodes (LSPDs), mid-sensitivity photodiodes (MSPDs), and high-sensitivity photodiodes (HSPDs). The LSPDs, MSPDs, and HSPDs have different capture rates. Therefore, a higher dynamic range is achieved by combining signals from LSPDs, MSPDs, and HSPDs. For example, the pixel array may achieve a dynamic range of approximately 140 decibels or higher due to its increased capacity. Additionally, the pixel array exhibits better dark performance as compared to a pixel array with a combination of large photodiodes (LPDs) and small photodiodes (SPDs). Because each photodiode in the pixel array is approximately a same size, photodiode leakage is reduced as compared with irregular pixel arrays including a combination of LPDs and SPDs.
H04N 25/59 - Commande de la gamme dynamique en commandant la quantité de charge stockable dans le pixel, p. ex. en modifiant le rapport de conversion de charge de la capacité du nœud flottant
48.
LITHOGRAPHY MASK HAVING HIGH EXTINCTION COEFFICIENT ABSORBER AND RELATED SYSTEMS AND METHODS
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Hsu, Pei-Cheng
Wang, Hsuan-I
Lin, Ping-Hsun
Yu, Ching-Fang
Chen, Chia-Jen
Lee, Hsin-Chang
Abrégé
An extreme ultraviolet (EUV) mask and method of forming an EUV mask are provided. The method includes forming a mask layer on a semiconductor wafer, generating extreme ultraviolet (EUV) light by a lithography exposure system, forming patterned EUV light by patterning the EUV light by a mask including an absorber having extinction coefficient at an EUV wavelength that exceeds extinction coefficients of TaBN and TaN at the EUV wavelength, and exposing the mask layer by the patterned EUV light.
G03F 7/00 - Production par voie photomécanique, p. ex. photolithographique, de surfaces texturées, p. ex. surfaces impriméesMatériaux à cet effet, p. ex. comportant des photoréservesAppareillages spécialement adaptés à cet effet
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. (Taïwan, Province de Chine)
Inventeur(s)
Huang, Yu-Lien
Abrégé
A semiconductor structure includes at least a fin structure, a gate structure over the fin structure, a spacer at sidewalls of the gate structure, a connecting structure disposed over the fin structure, a first dielectric layer over the gate structure, and a second dielectric structure. The fin structure extends in a first direction, and the gate structure extends in a second direction different from the first direction. The spacer includes a solid spacer and an air spacer disposed within the solid spacer. The connecting structure is isolated from the gate structure by the spacer. The second dielectric structure extends in the first direction.
H10D 84/03 - Fabrication ou traitement caractérisés par l'utilisation de technologies basées sur les matériaux utilisant une technologie du groupe IV, p. ex. technologie au silicium ou au carbure de silicium [SiC]
H10D 84/83 - Dispositifs intégrés formés dans ou sur des substrats semi-conducteurs qui comprennent uniquement des couches semi-conductrices, p. ex. sur des plaquettes de Si ou sur des plaquettes de GaAs-sur-Si caractérisés par l'intégration d'au moins un composant couvert par les groupes ou , p. ex. l'intégration de transistors IGFET de composants à effet de champ uniquement de transistors FET à grille isolée [IGFET] uniquement
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chiu, Jung-Piao
Chen, Yu-Sheng
Abrégé
A memory device includes a memory cell array. The memory cell array includes first-tier word lines extending in a first direction, second-tier word lines disposed below the first-tier word lines and extending in a second direction angularly offset from the first direction, and bit lines extending in a third direction angularly offset from the first and second directions. The bit lines are arranged between a pair of the first-tier word lines and between a pair of the second-tier word lines.
G11C 5/06 - Dispositions pour interconnecter électriquement des éléments d'emmagasinage
G11C 13/00 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage non couverts par les groupes , ou
H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
H10B 63/00 - Dispositifs de mémoire par changement de résistance, p. ex. dispositifs RAM résistifs [ReRAM]
H10B 63/10 - Dispositifs RAM à changement de phase [PCRAM, PRAM]
H10N 70/00 - Dispositifs à l’état solide n’ayant pas de barrières de potentiel, spécialement adaptés au redressement, à l'amplification, à la production d'oscillations ou à la commutation
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Wu, Jiun-Yi
Lee, Chien-Hsun
Yu, Chen-Hua
Liu, Chung-Shi
Abrégé
Circuit board includes conductive plate, core dielectric layer, metallization layer, first build-up stack, second build-up stack. Conductive plate has channels extending from top surface to bottom surface. Core dielectric layer extends on covering top surface and side surfaces of conductive plate. Metallization layer extends on core dielectric layer and within channels of conductive plate. Core dielectric layer insulates metallization layer from conductive plate. First build-up stack is disposed on top surface of conductive plate and includes conductive layers alternately stacked with dielectric layers. Conductive layers electrically connect to metallization layer. Second build-up stack is disposed on bottom surface of conductive plate. Second build-up stack includes bottommost dielectric layer and bottommost conductive layer. Bottommost dielectric layer covers bottom surface of conductive plate. Bottommost conductive layer is disposed on bottommost dielectric layer and electrically connects to metallization layer. First build-up stack includes more conductive and dielectric layers than second build-up stack.
H05K 3/40 - Fabrication d'éléments imprimés destinés à réaliser des connexions électriques avec ou entre des circuits imprimés
H01L 23/373 - Refroidissement facilité par l'emploi de matériaux particuliers pour le dispositif
H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
Singh, Gulbagh
Chuang, Kun-Tsang
Abrégé
A semiconductor structure includes a substrate assembly and a semiconductor device. The semiconductor device is formed on the substrate assembly, and includes a body region, two active regions, and a butted body. The active regions are disposed at two opposite sides of the body region, and both have a first type conductivity. The body region and the active regions together occupy on a surface region of the substrate assembly. The butted body has a second type conductivity different from the first type conductivity, and is located on the surface region of the substrate assembly so as to permit the body region to be tied to one of the active regions through the butted body.
H10D 62/17 - Régions semi-conductrices connectées à des électrodes ne transportant pas de courant à redresser, amplifier ou commuter, p. ex. régions de canal
53.
SEMICONDUCTOR PACKAGE WITH ANTENNA AND METHOD OF FORMING THE SAME
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Liao, Wen-Shiang
Abrégé
A semiconductor package includes a semiconductor die, an encapsulation layer and at least one antenna structure. The encapsulation layer laterally encapsulates the semiconductor die. The at least one antenna structure is embedded in the encapsulation layer aside the semiconductor die. The at least one antenna structure includes a dielectric bulk, and a dielectric constant of the dielectric bulk is higher than a dielectric constant of the encapsulation layer.
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Lee, Chien-Wei
Lin, Che-Yu
Sung, Hsueh-Chang
Yeo, Yee-Chia
Abrégé
A method includes forming a fin over a substrate, forming an isolation region adjacent the fin, forming a dummy gate structure over the fin, and recessing the fin adjacent the dummy gate structure to form a first recess using a first etching process. The method also includes performing a plasma clean process on the first recess, the plasma clean process including placing the substrate on a holder disposed in a process chamber, heating the holder to a process temperature between 300° C. and 1000° C., introducing hydrogen gas into a plasma generation chamber connected to the process chamber, igniting a plasma within the plasma generation chamber to form hydrogen radicals, and exposing surfaces of the recess to the hydrogen radicals. The method also includes epitaxially growing a source/drain region in the first recess.
H01J 37/32 - Tubes à décharge en atmosphère gazeuse
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
H01L 21/265 - Bombardement par des radiations ondulatoires ou corpusculaires par des radiations d'énergie élevée produisant une implantation d'ions
H01L 21/266 - Bombardement par des radiations ondulatoires ou corpusculaires par des radiations d'énergie élevée produisant une implantation d'ions en utilisant des masques
H01L 21/3065 - Gravure par plasmaGravure au moyen d'ions réactifs
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
H10D 30/62 - Transistors à effet de champ à ailettes [FinFET]
H10D 62/00 - Corps semi-conducteurs, ou régions de ceux-ci, de dispositifs ayant des barrières de potentiel
H10D 62/13 - Régions semi-conductrices connectées à des électrodes transportant le courant à redresser, amplifier ou commuter, p. ex. régions de source ou de drain
Taiwan Semiconductor Manufacturing Company Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chu, Li-Wei
Hung, Tao Yi
Chen, Chia-Hui
Lin, Wun-Jie
Lee, Jam-Wem
Abrégé
In some aspects of the present disclosure, an electrostatic discharge (ESD) protection circuit is disclosed. In some aspects, the ESD protection circuit includes a first transistor coupled to a pad, a second transistor coupled between the first transistor and ground, a stack of transistors coupled to the first transistor, and an ESD clamp coupled between the stack of transistors and the ground.
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Fujiwara, Hidehiro
Nien, Yi-Hsin
Konudula, Venkateswara Reddy
Puri, Nikhil
Chen, Yen-Huei
Abrégé
A memory device includes a memory array including a plurality of word lines, each of the plurality of word lines operatively coupled to a corresponding set of memory cells, and a controller operatively coupled to the memory array. The controller is configured to receive a first address signal indicating a first word line that is physically arranged with respect to the controller by a first distance, assert the first word line through a first signal with a first pulse width, receive a second address signal indicating a second word line that is physically arranged with respect to the controller by a second distance, assert the second word line through a second signal with a second pulse width, and adjust one of the first pulse width or the second pulse width based on the first distance and the second distance.
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
Liang, Zheng-Yong
Yeh, Wei-Ting
Yen, Fu-Ting
Yen, Hung-Yu
Lin, Chien-Hung
Chan, Kuei-Lin
Peng, Yu-Yun
Lin, Keng-Chu
Abrégé
A semiconductor device structure and methods of forming the same are described. The structure includes a gate dielectric layer disposed over a substrate, a gate electrode layer disposed over the gate dielectric layer, and a first gate spacer disposed adjacent the gate dielectric layer. The first gate spacer includes an inner surface facing the gate dielectric layer and an outer surface opposite the inner surface, and the first gate spacer includes a fluorine concentration that decreases from the inner surface and the outer surface towards a center of the first gate spacer. The structure further includes a second gate spacer disposed on the outer surface of the first gate spacer, and the second gate spacer includes a fluorine concentration that decreases from an outer surface towards an inner surface.
H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
H01L 29/66 - Types de dispositifs semi-conducteurs
H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Lee, Cheng-Chin
Huang, Hsin-Yen
Lee, Shao-Kuan
Lo, Ting-Ya
Chang, Hsiao-Kang
Abrégé
Some embodiments relate to an integrated circuit (IC) device including a substrate, a plurality of electrically conductive structures disposed over the substrate and separated from each other, and at least one electrically insulating structure disposed over the substrate and directly contacting each of the plurality of electrically conductive structures. The at least one electrically insulating structure has a thermal conductivity greater than five watts per meter-Kelvin (W/m-K).
H01L 23/373 - Refroidissement facilité par l'emploi de matériaux particuliers pour le dispositif
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 23/367 - Refroidissement facilité par la forme du dispositif
H01L 23/498 - Connexions électriques sur des substrats isolants
H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
59.
PASSIVATION STRUCTURE AND THE METHODS OF FORMING THE SAME
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Lee, Wan-Yu
Lin, Ta-Hsuan
Tseng, Hua-Wei
Wu, Wei-Cheng
Abrégé
A method includes forming a metal pad, depositing a passivation layer on the metal pad, and planarizing the passivation layer, so that the passivation layer includes a planar top surface. The method further includes etching the passivation layer to form an opening in the passivation layer, wherein the metal pad is exposed to the opening, and forming a conductive via including a lower portion in the opening, and an upper portion higher than the passivation layer. A polymer layer is then dispensed to cover the conductive via.
H01L 23/48 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p. ex. fils de connexion ou bornes
H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 23/31 - Encapsulations, p. ex. couches d’encapsulation, revêtements caractérisées par leur disposition
H01L 23/498 - Connexions électriques sur des substrats isolants
H01L 25/00 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
60.
MAGNETORESISTIVE MEMORY DEVICE AND INTEGRATED MEMORY CIRCUIT
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Song, Ming-Yuan
Pai, Chi-Feng
Bao, Xinyu
Hu, Chen-Yu
Abrégé
A magnetoresistive memory device and an integrated memory circuit are provided. The magnetoresistive memory device includes a magnetic tunneling junction (MTJ) and a composite spin orbit torque (SOT) channel in contact with a terminal of the MTJ. The SOT channel includes: a first channel layer, configured to convert a portion of a charge current into an orbital current based on orbital Hall effect; and a second channel layer, covering the first channel layer, and configured to convert a portion of the charge current into a first spin current based on spin Hall effect, and to convert the orbital current to a second spin current.
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chiang, Hsin-Che
Wu, Chi-Wei
Liu, Pang-Hsuan
Kao, Wei-Chih
Yeh, Jeng-Ya
Chiang, Mu-Chi
Liaw, Jhon-Jhy
Abrégé
Semiconductor structures and methods for manufacturing the same are provided. The semiconductor structure includes first nanostructures formed over a substrate along a first direction, and second nanostructures formed over the substrate along the first direction. The semiconductor structure includes a first gate structure formed over the first nanostructures along a second direction, and a second gate structure formed over the second nanostructures along the second direction. The semiconductor structure also includes a dielectric wall structure between the first gate structure and the second gate structure along the second direction. The dielectric wall structure includes a top portion and a bottom portion, and a top width of a top surface of the top portion is smaller than a bottom width of a bottom surface of the bottom portion of the dielectric wall structure.
H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
H01L 29/66 - Types de dispositifs semi-conducteurs
H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Tu, Shih-Yu
Wang, Shao-Hua
Liu, Yen-Hao
Kuo, Chueh-Chi
Chen, Li-Jui
Liu, Heng-Hsin
Abrégé
In a method of cleaning a lithography system, during idle mode, a stream of air is directed, through a first opening, into a chamber of a wafer table of an EUV lithography system. One or more particles is extracted by the directed stream of air from surfaces of one or more wafer chucks in the chamber of the wafer table. The stream of air and the extracted one or more particle are drawn, through a second opening, out of the chamber of the wafer table.
G03F 7/00 - Production par voie photomécanique, p. ex. photolithographique, de surfaces texturées, p. ex. surfaces impriméesMatériaux à cet effet, p. ex. comportant des photoréservesAppareillages spécialement adaptés à cet effet
63.
PIEZOELECTRIC ANTI-STICTION STRUCTURE FOR MICROELECTROMECHANICAL SYSTEMS
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Hu, Fan
Cheng, Chun-Ren
Chen, Hsiang-Fu
Tai, Wen-Chuan
Abrégé
Various embodiments of the present disclosure are directed towards a microelectromechanical system (MEMS) device. The MEMS device includes a first dielectric structure disposed over a first semiconductor substrate, where the first dielectric structure at least partially defines a cavity. A second semiconductor substrate is disposed over the first dielectric structure and includes a movable mass, where opposite sidewalls of the movable mass are disposed between opposite sidewall of the cavity. A first piezoelectric anti-stiction structure is disposed between the movable mass and the first dielectric structure, wherein the first piezoelectric anti-stiction structure includes a first piezoelectric structure and a first electrode disposed between the first piezoelectric structure and the first dielectric structure
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chu, Chia-Hua
Cheng, Chun-Wen
Abrégé
In some embodiments, the present disclosure relates to an integrated device, including: a substrate; a semiconductor layer on the substrate and including a first structure being one of a sound port, a sealed cavity, or a proof mass, and a second structure being one of the sound port, the sealed cavity, or the proof mass, where the second structure is a different one of the sound port, the sealed cavity, or the proof mass than the first structure; a piezoelectric layer on the semiconductor layer overlying the first structure and the second structure; and an air gap extending into the semiconductor layer from an upper surface of the piezoelectric layer, wherein the first structure and portions of the piezoelectric layer overlying the first structure are spaced from the second structure and portions of the piezoelectric layer overlying the second structure by the air gap.
G01P 15/09 - Mesure de l'accélérationMesure de la décélérationMesure des chocs, c.-à-d. d'une variation brusque de l'accélération en ayant recours aux forces d'inertie avec conversion en valeurs électriques ou magnétiques au moyen de capteurs piézo-électriques
H10N 39/00 - Dispositifs intégrés, ou ensembles de plusieurs dispositifs, comportant au moins un élément piézo-électrique, électrostrictif ou magnétostrictif couvert par les groupes
65.
Methods and Systems for Improving Transfer Efficiency of an Automated Material Handling System
Taiwan Semiconductor Manufacturing Company Limited (Taïwan, Province de Chine)
Inventeur(s)
Shen, Yen-Fu
Abrégé
Methods and systems for improving the efficiency of an automated material handling system (AMHS) include providing an apparatus operatively coupled to a load port of a processing apparatus, where the apparatus is configured to remove a first work-in-process from the load port and to move the first work-in-process along a first direction to displace the first work-in-progress from the load port while a second work-in-progress is transferred to the load port from an AMHS vehicle along a second direction that is perpendicular to the first direction, and transferring the first work-in-progress to an AMHS vehicle along the second direction. The methods and systems may be used for loading and unloading wafer storage containers, such as front opening unified pods (FOUPs), in a semiconductor fabrication facility.
H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p. ex. entre différents postes de travail
66.
SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chen, Kuo-Ju
Yen, Te-Jui
Chen, Liang-Yin
Chui, Chi On
Abrégé
A method includes a number of operations. An interlayer dielectric (ILD) layer is formed over a source/drain region on a substrate. A source/drain contact extending through the ILD layer to electrically connect with the source/drain region is formed. An air gap extending through the ILD layer is formed. An implantation energy absorption dielectric layer is formed over the ILD layer. An implantation process is performed on the implantation energy absorption dielectric layer, wherein the implantation process causes the ILD layer expands to seal the air gap. A first implant-free dielectric layer is formed over the implantation energy absorption dielectric layer. A second implant-free dielectric layer is formed over the first implant-free dielectric layer. A source/drain via extending through the second implant-free dielectric layer, the first implant-free dielectric layer, and the implantation energy absorption dielectric layer to the source/drain contact is formed.
H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
H01L 29/66 - Types de dispositifs semi-conducteurs
H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
67.
FORMING ISOLATION REGIONS WITH LOW PARASITIC CAPACITANCE AND REDUCED DAMAGE
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Lin, Tzu-Ging
Lai, Chun-Liang
Wu, Yun-Chen
Abrégé
A structure includes a plurality of semiconductor regions, a first gate stack and a second gate stack immediately neighboring each other, a first fin isolation region in the first gate stack, and a second fin isolation region in the second gate stack. The first fin isolation region and the second fin isolation region have a sideway overlap having an overlap distance being equal to or greater than a pitch of the plurality of semiconductor regions. The overlap distance is measured in a direction parallel to lengthwise directions of the first gate stack and the second gate stack. A plurality of source/drain regions are on opposing sides of the first gate stack and the second gate stack to form a plurality of transistors.
H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
H01L 29/66 - Types de dispositifs semi-conducteurs
H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Lin, Yueh-Ting
Tseng, Hua-Wei
Yeh, Ming Shih
Yeh, Der-Chyang
Abrégé
A package comprises at least one first device die, and a redistribution line (RDL) structure having the at least one first device die bonded thereto. The RDL structure comprises a plurality of dielectric layers, and a plurality of RDLs formed through the plurality of dielectric layers. A trench is defined proximate to axial edges of the RDL structure through each of the plurality of dielectric layers. The trench prevents damage to portions of the RDL structure located axially inwards of the trench.
H01L 23/498 - Connexions électriques sur des substrats isolants
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 23/31 - Encapsulations, p. ex. couches d’encapsulation, revêtements caractérisées par leur disposition
H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants
H01L 25/11 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs ayant des conteneurs séparés les dispositifs étant d'un type prévu dans la sous-classe
69.
MEMS DEVICE WITH ENHANCED MEMBRANE STRUCTURE AND METHOD OF FORMING THE SAME
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. (Taïwan, Province de Chine)
Inventeur(s)
Cheng, Chun-Wen
Tsai, Chun Yin
Chu, Chia-Hua
Abrégé
A semiconductor device and a method of manufacturing the same are provided. The semiconductor device includes a substrate and a membrane adjacent to the substrate and configured to generate charges in response to an acoustic wave. The membrane includes a via pattern including: first lines that partition the membrane into slices and extend to a side of the membrane such that the slices are separated from each other near the side of the membrane and connected to each other around a central region, wherein the first lines are made closer to each other when they are closer to the central region, and second lines alternatingly arranged with the first lines.
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
Lee, Cheng-En
Lu, Shih-Lien Linus
Abrégé
A physically unclonable function (PUF) cell array includes a first PUF cell in a first column in a first direction, and a second PUF cell in a second column. The first PUF cell includes a first set of conductive structures that include a first conductive structure extending in the second direction and a second conductive structure extending in the first direction. The second PUF cell includes a second set of conductive structures that include a third conductive structure extending in the second direction and a fourth conductive structure extending in the first direction. At least the first and third conductive structure, or the second and the fourth conductive structure are symmetric to each other with respect to a central line between the first or second PUF cell.
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Shih, Che Chi
Chiu, Tsung-Kai
Yang, Ku-Feng
Woon, Wei-Yen
Liao, Szuya
Abrégé
The present disclosure relates to an integrated circuit (IC) structure. The IC structure includes a semiconductor device having a frontside and a backside opposite the frontside. A first interconnect structure disposed on the frontside of the semiconductor device. The first interconnect structure comprises a first dielectric structure having a plurality of inter-level dielectric (ILD) layers. A second dielectric structure disposed on the backside of the semiconductor device. The second dielectric structure comprises a first high thermal conductivity layer having a thermal conductivity greater than that of the ILD layers.
H01L 23/373 - Refroidissement facilité par l'emploi de matériaux particuliers pour le dispositif
H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux
H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
Taiwan Semiconductor Manufacturing Company Limited (Taïwan, Province de Chine)
Inventeur(s)
Chang, Yu-Chen
Cheng, Anhao
Lin, Yen-Liang
Hsiao, Ru-Shang
Abrégé
A method is provided. The method includes determining a first hotspot region of a contact structure map. The method includes enlarging, according to a first predefined enlargement profile, the first hotspot region to determine a first enlarged region of the contact structure map. The method includes determining that a first portion of the first enlarged region overlaps a functional region of a functional component. The method includes determining a cropped region, of the contact structure map, that excludes the first portion of the first enlarged region. The method includes updating a first patterned oxide layer map based upon the cropped region to generate an updated patterned oxide layer map.
G03F 1/70 - Adaptation du tracé ou de la conception de base du masque aux exigences du procédé lithographique, p. ex. correction par deuxième itération d'un motif de masque pour l'imagerie
G03F 7/00 - Production par voie photomécanique, p. ex. photolithographique, de surfaces texturées, p. ex. surfaces impriméesMatériaux à cet effet, p. ex. comportant des photoréservesAppareillages spécialement adaptés à cet effet
73.
PHOTONIC SEMICONDUCTOR PACKAGE AND METHOD OF FORMING THE SAME
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Yu, Chen-Hua
Shao, Tung-Liang
Huang, Yu-Sheng
Abrégé
A package includes an interposer; a photonic interconnect structure connected to the interposer, wherein the photonic interconnect structure includes: photonic components; waveguides that are optically coupled to the photonic components; and an electronic die that is electrically coupled to the photonic components; and dies electrically connected to the interposer, wherein the dies are electrically coupled to the photonic interconnect structure through the interposer.
G02B 6/12 - Guides de lumièreDétails de structure de dispositions comprenant des guides de lumière et d'autres éléments optiques, p. ex. des moyens de couplage du type guide d'ondes optiques du genre à circuit intégré
G02B 6/13 - Circuits optiques intégrés caractérisés par le procédé de fabrication
74.
INTEGRATED CIRCUIT DEVICE FACILITATING SAME-SIDE OPTICAL AND ELECTRICAL TESTING
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Huang, Xin-Hua
Lee, Kuo-Hao
Tu, Jung-Kuo
Xia, Kejun
Chang, Tse-En
Abrégé
Some embodiments relate to an integrated circuit (IC) device that includes a first substrate including an optical lens at a frontside surface of the first substrate, an electrical IC structure disposed proximate a backside surface of the first substrate, and a photonic IC structure disposed proximate a backside surface of the electrical IC structure. The photonic IC structure includes a second substrate providing a backside surface of the photonic IC structure; a photodetector, a grating coupler, and an inverse grating coupler disposed over a frontside surface of the second substrate; and a reflector disposed at a frontside surface of the photonic IC structure. The grating coupler and the inverse grating coupler are configured to direct light from the optical lens and the backside surface of the second substrate, respectively, to the photodetector. The reflector is configured to direct light from the inverse grating coupler back to the inverse grating coupler.
Taiwan Semiconductor Manufacturing Company Limited (Taïwan, Province de Chine)
Inventeur(s)
Liao, Keng-Ying
Tung, Huai-Jen
Sung, Chih Wei
Chen, Po-Zen
Ku, Yu-Chien
Lin, Yu-Chu
Jen, Chi-Chung
Wu, Yen-Jou
Wang, S.S.
Abrégé
A device is disclosed. The device includes a plurality of pixels disposed over a first surface of a semiconductor layer. The device includes a device layer disposed over the first surface. The device includes metallization layers disposed over the device layer. One of the metallization layers, closer to the first surface than any of other ones of the metallization layers, includes at least one conductive structure. The device includes an oxide layer disposed over a second surface of the semiconductor layer, the second surface being opposite to the first surface, the oxide layer also lining a recess that extends through the semiconductor layer. The device includes a spacer layer disposed between inner sidewalls of the recess and the oxide layer. The device includes a pad structure extending through the oxide layer and the device layer to be in physical contact with the at least one conductive structure.
H10F 39/00 - Dispositifs intégrés, ou ensembles de plusieurs dispositifs, comprenant au moins un élément couvert par le groupe , p. ex. détecteurs de rayonnement comportant une matrice de photodiodes
Taiwan Semiconductor Manufacturing Company Limited (Taïwan, Province de Chine)
Inventeur(s)
Yang, Kai-Hsiang
Kao, Chin-Fu
Eitan, Amram
Lin, Shu-Cheng
Abrégé
Vertically stacked semiconductor devices and methods of fabrication thereof that include a first device structure bonded to a second device structure via bonding layers having compressible metal bonding structures. The compressible metal bonding structures may be fabricated using an electroless deposition (ED) process, and may be less dense with a greater degree of compressibility than equivalent materials deposited by related processes. Accordingly, mating pairs of metal bonding structures may have a degree of compliance that enables effective metal-to-metal contact during a subsequent bonding process. Recrystallization of the metal material during an annealing process may produce shrinkage of the metal material and the formation of void areas between the metal bonds and the surrounding dielectric layers, thereby reducing stress on the surrounding dielectric-to-dielectric interface. Accordingly, bonding defects may be minimized and the performance and yields of vertically stacked semiconductor devices may be improved.
H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 25/00 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Ho, Cheng Ying
Hsu, Kai-Chun
Wang, Wen-De
Hsieh, Cheng-Yu
Liu, Jen-Cheng
Abrégé
An image sensor includes photosensitive areas in a first array within a semiconductor substrate. Microlens are disposed over the semiconductor substrate in a second array. Metal shields are disposed between a subset of the microlenses and corresponding photosensitive areas. The metal half-shields have dimensions and positions that provide half-shielding that enables half-shield phase detection autofocus. An antireflective coating is disposed over the metal half-shields. The metal half-shields and the antireflective coating may be in a composite grid that provides lateral separation between color filters. Alternatively, metal half-shields and the antireflective coating may be in below a layer that includes color filters. The antireflective coating includes a quarter-wave layer.
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Lo, Hung-Kun
Abrégé
The present disclosure provides an integrated circuit (IC) structure that includes a first substrate with a first surface having a normal direction along a first direction; a first IC chip bonded to the first substrate; and a second IC chip electrically connected to the first IC chip. The first and second IC chips are sealed in a same package having a sealing material layer, and the sealing material layer includes a first anisotropic thermal dissipation material. The first anisotropic thermal dissipation material is thermally conductive with a first thermal conductivity along the first direction and a second thermal conductivity along a second direction being perpendicular to the first direction. The second thermal conductivity is substantially greater than the first thermal conductivity.
H01L 23/373 - Refroidissement facilité par l'emploi de matériaux particuliers pour le dispositif
H01L 23/29 - Encapsulations, p. ex. couches d’encapsulation, revêtements caractérisées par le matériau
H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
H01L 27/02 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface
H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Takahashi, Seiji
Abrégé
Various embodiments of the present disclosure are directed towards an integrated chip including a photodetector in a substrate. A gate electrode comprises a first segment on a first surface of the substrate and a second segment in the substrate and adjacent to the photodetector. The first segment contacts a first region of the second segment and is laterally offset from a second region of the second segment. In top view a first area of the first segment is greater than a second area of the second region of the second segment.
H10F 39/00 - Dispositifs intégrés, ou ensembles de plusieurs dispositifs, comprenant au moins un élément couvert par le groupe , p. ex. détecteurs de rayonnement comportant une matrice de photodiodes
H10F 39/18 - Capteurs d’images à semi-conducteurs d’oxyde de métal complémentaire [CMOS]Capteurs d’images à matrice de photodiodes
80.
SEMICONDUCTOR STRUCTURE AND MANUFACTURING METHOD THEREOF
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. (Taïwan, Province de Chine)
Inventeur(s)
Yu, Chia-Jung
Hsu, Pin-Cheng
Abrégé
A semiconductor structure and a method for forming the semiconductor structure are disclosed. The semiconductor structure includes a dielectric layer and a transistor. The transistor is at least partially disposed in the dielectric layer. The transistor includes a gate electrode, a gate dielectric layer, a source electrode, a drain electrode and a semiconductor layer. The gate dielectric layer is disposed over the gate electrode. The source electrode and the drain electrode are disposed over the gate dielectric layer and contact the gate dielectric layer. The semiconductor layer is disposed over the gate dielectric layer.
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Syu, Jia-Lin
Chen, Tai-Yu
Chen, Yi-Zhen
Chen, Yen-Hsun
Chien, Shang-Chieh
Chen, Li-Jui
Abrégé
A method for operating a reticle inspection system includes training an analysis model of the reticle inspection system with a machine learning process, generating a difference threshold based on the machine learning process, storing a reference image, and generating a scan image of a reticle with the reticle inspection system. The method includes generating a relative difference value by comparing the scan image to the reference image. The method includes, if the relative difference value is less than the difference threshold, determining that the reticle is not defective. The method includes, if the relative difference value is greater than the difference threshold, determining that the reticle is defective.
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
Hsieh, Yuying
Li, Cheng-Chien
Wu, Huei-Shan
Abrégé
An IC structure and methods of forming the same are described. In some embodiments, the structure includes a fin structure disposed over a substrate, the fin structure includes first and second segments and a bottom surface between the first and second segments, and the bottom surface includes a plurality of recesses. The structure further includes a dielectric material disposed between the first and second segments of the fin structure, and the dielectric material is disposed on the bottom surface and in the plurality of recesses. The structure further includes a gate structure disposed over the first segment of the fin structure, and the gate structure covers a top surface and side surfaces of the first segment of the fin structure.
H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
83.
SEMICONDUCTOR STRUCTURE AND MANUFACTURING METHOD THEREOF
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Su, Chia-Wei
Chen, Hsin-Ping
Wu, Yung-Hsu
Su, Li-Ling
Liao, Chan-Yu
Lee, Shao-Kuan
Lo, Ting-Ya
Huang, Hsin-Yen
Chang, Hsiao-Kang
Abrégé
The semiconductor structure and a manufacturing method thereof are provided. The semiconductor structure includes a first dielectric layer, a first metal layer, a second metal layer, a first etching stop layer, a second etching stop layer, a second dielectric layer, a first via and a second via. The first metal layer and the second metal are embedded in the first dielectric layer. The first etching stop layer is disposed on the first dielectric layer. The second etching stop layer is disposed on the first etching stop layer. The second dielectric layer is disposed on the second etching stop layer. The first via and the second via are embedded in the second dielectric layer. A width of the second etching stop layer is smaller a width of the first etching stop layer.
H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
H01L 23/528 - Configuration de la structure d'interconnexion
H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chang, Hsin
Wang, Po-Han
Hu, Yu-Hsiang
Kuo, Hung-Jui
Abrégé
A method of forming a semiconductor package includes: surrounding a die with a molding material; and forming a redistribution structure (RDS) over the molding material and electrically coupled to the die, which includes: depositing a first dielectric layer over the molding material; patterning the first dielectric layer to form first openings in the first dielectric layer; performing a first descum process to clean the first openings; after performing the first descum process, forming a first redistribution layer (RDL) on the first dielectric layer; depositing a second dielectric layer over the molding material; patterning the second dielectric layer to form second openings in the second dielectric layer; performing a second descum process to clean the second openings, where the first and second descum processes are performed under different process conditions; and after performing the second descum process, forming a second RDL on the second dielectric layer.
H01L 23/31 - Encapsulations, p. ex. couches d’encapsulation, revêtements caractérisées par leur disposition
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 23/498 - Connexions électriques sur des substrats isolants
H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
H01L 25/00 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
85.
SEMICONDUCTOR TESTING APPARATUS AND METHOD FOR TESTING SEMICONDUCTOR STRUCTURE
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chang, Ming-Hsuan
Lin, Yuan-Li
Yang, Sheng-Ming
Lu, Kuo-Ming
Abrégé
A semiconductor testing apparatus is provided, and includes a base, a conductive socket, a pusher, and a thermal interface material structure. The conductive socket is disposed in the base for containing a semiconductor structure. The pusher is over the conductive socket and movable in a vertical direction. The thermal interface material structure is connected to the pusher, and includes a resilient material and a metal film around the resilient material. The metal film and the resilient material are in contact with the pusher.
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chang, Feng-Ming
Chen, Jui-Lin
Wang, Ping-Wei
Chang, Jui-Wen
Hung, Lien-Jung
Abrégé
A semiconductor structure according to the present disclosure includes a first memory array in a first cache and a second memory array in a second cache. The first memory array includes a plurality of first memory cells arranged in M1 rows and N1 columns. The second memory array includes a plurality of second memory cells arranged in M2 rows and N2 columns. The semiconductor structure also includes a first bit line coupled to a number of N1 first memory cells in one of the M1 rows, and a second bit line coupled to a number of N2 second memory cells in one of the M2 rows. N1 is smaller than N2, and a width of the first bit line is smaller than a width of the second bit line.
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Khwa, Win-San
Lu, Yi-Lun
Liu, Jen-Chieh
Wu, Jui-Jen
Chang, Meng-Fan
Abrégé
A computing circuit is configured to perform a bit-serial multiplication of an input signal and a weight signal. A multiplier circuit is configured to receive the input signal and the weight signal and to provide a product sum. An adder circuit is configured to receive the product sum and to provide a partial sum. A partial sum register is configured to: clock-gate a second part of the partial sum register; receive the partial sum; provide, based on the partial sum, a first output of the bit-serial multiplication through a first part of the partial sum register; determine whether not to clock-gate the second part of the partial sum register or not based on a first feature bit of the partial sum; and provide, based on the first feature bit of the partial sum, a second output of the bit-serial multiplication through the second part of the partial sum register.
G06F 7/544 - Méthodes ou dispositions pour effectuer des calculs en utilisant exclusivement une représentation numérique codée, p. ex. en utilisant une représentation binaire, ternaire, décimale utilisant des dispositifs n'établissant pas de contact, p. ex. tube, dispositif à l'état solideMéthodes ou dispositions pour effectuer des calculs en utilisant exclusivement une représentation numérique codée, p. ex. en utilisant une représentation binaire, ternaire, décimale utilisant des dispositifs non spécifiés pour l'évaluation de fonctions par calcul
88.
IMAGE SENSOR DEVICE AND METHOD OF MANUFACTURING THE SAME
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Tsai, Shu-Ting
Wang, Tzu-Jui
Chen, U-Ting
Ting, Shyh-Fann
Chen, Szu-Ying
Abrégé
The problem of forming a deep trench isolation structure suitable for photodetectors with small pitch is solved by a process in which a grid of trenches is etched from the front side using high energy plasma followed by annealing. The trenches are filled with an oxide followed by etching to recess the oxide. The trench recesses are filled with semiconductor to form a grid-shaped semiconductor structure. After FEOL processing, BEOL processing, attachment to a second substrate, and thinning from the back side, an etch removes the oxide from the back side. The etch stops on the grid-shaped semiconductor structure. The trenches are then lined and filled from the back side. The front side etch allows the trenches to be made narrow and with highly vertical sidewalls. Lining and filling the trenches from the back side provides good optical and electrical isolation.
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Lee, Chun-Ying
Lee, Chieh
Chang, Tung-Cheng
Huang, Yen-Hsiang
Huang, Chia-En
Abrégé
A memory device comprises a memory array, a plurality of access word lines, and a first tracking word line. The memory array may include a plurality of bit cells arranged over a plurality of rows and a plurality of columns. The plurality of access word lines may extend along a lateral direction. The plurality of rows may operatively correspond to the plurality of access word lines, respectively. The first tracking word line may also extend along the lateral direction and have a first portion extending from an edge of the memory array to a middle of the memory array and a second portion extending from the middle of the memory array to the edge of the memory array. The first combination can be different from the second combination.
G11C 5/06 - Dispositions pour interconnecter électriquement des éléments d'emmagasinage
G11C 8/08 - Circuits de commande de lignes de mots, p. ex. circuits d'attaque, de puissance, de tirage vers le haut, d'abaissement, circuits de précharge, pour lignes de mots
H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
H01L 23/528 - Configuration de la structure d'interconnexion
H10B 10/00 - Mémoires statiques à accès aléatoire [SRAM]
90.
SEMICONDUCTOR DEVICE AND METHOD OF FORMING THE SAME
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Cheng, Yung-Shih
Abrégé
Provided are a semiconductor device and a method of forming the same. The semiconductor device includes a device layer having a frontside and a backside; a first interconnect structure disposed on the frontside of the device layer, and having a first seal ring structure; a second interconnect structure disposed on the backside of the device layer; and a diode and a transistor embedded in the device layer, wherein a gate of the transistor is electrically connected to the first seal ring structure by the diode.
H01L 23/528 - Configuration de la structure d'interconnexion
H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
H01L 23/58 - Dispositions électriques structurelles non prévues ailleurs pour dispositifs semi-conducteurs
H01L 25/00 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Yang, Ming-Hsien
Lin, Kun-Hui
Chou, Chun-Hao
Lee, Kuo-Cheng
Abrégé
A pixel sensor array may include a plurality of pixel sensors configured to generate color information associated with incident light, and a time of flight (ToF) sensor circuit configured to generate distance information associated with the incident light. The color information and the distance information may be used to generate a three-dimensional (3D) ToF color image. The ToF sensor circuit may be included under a DTI structure surrounding the plurality of pixel sensors in a top view of the pixel sensor array.
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Lee, Chen-Ming
Wu, Shih-Chieh
Huang, Po-Yu
Wu, I-Wen
Yang, Fu-Kai
Wang, Mei-Yun
Abrégé
One aspect of the present disclosure pertains to a semiconductor device. The semiconductor device includes a semiconductor substrate and a transistor formed over the semiconductor substrate. The transistor includes a first source/drain (S/D) feature, a second S/D feature, a channel region interposed between the first and second S/D features, and a gate stack engaging the channel region. The semiconductor device includes a first S/D contact landing on a top surface of the first S/D feature, a second S/D contact landing on a top surface of the second S/D feature, and a dielectric plug penetrating through the semiconductor substrate and landing on a bottom surface of the first S/D feature. The dielectric plug spans a width equal to or smaller than a width of the first S/D feature.
H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
H01L 29/66 - Types de dispositifs semi-conducteurs
H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. (Taïwan, Province de Chine)
Inventeur(s)
Chang, Chun-Yi
Lin, Chia-Hui
Huang, Tai-Chun
Lee, Tze-Liang
Abrégé
A semiconductor structure includes a metal gate structure and an isolation structure adjacent to the metal gate structure. The isolation structure includes a first dielectric layer, a second dielectric layer over the first dielectric layer and a third dielectric layer over the second dielectric layer. The first dielectric layer includes carbon of a first concentration, the second dielectric layer includes carbon of a second concentration, and the third dielectric layer includes carbon of a third concentration. The third concentration is greater than the second concentration, and the second concentration is greater than the first concentration.
H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
94.
STACKED MULTI-GATE DEVICE WITH FRONT-AND-BACK INTERCONNECTION AND METHODS FOR FORMING THE SAME
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
You, Wei-Xiang
Huang, Jui-Chien
Lin, Chun-Yen
Liao, Szuya
Abrégé
A method includes forming a first complementary Field-Effect Transistor (CFET) and a second CFET. The first CFET includes a first lower transistor, and a first upper transistor overlapping the first lower transistor. The second CFET includes a second lower transistor, and a second upper transistor overlapping the second lower transistor. The method further includes performing a first etching process to form a first opening, wherein the first etching process includes etching a first gate stack between the first upper transistor and the second upper transistor, and etching a second gate stack between the first lower transistor and the second lower transistor. The first opening is filled with a dielectric material to form a dielectric region. The method further includes performing a second etching process to etch a middle portion of the dielectric region and to form a second opening, and filling the second opening with a conductive material to form a through-via.
H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
H01L 23/528 - Configuration de la structure d'interconnexion
H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
H01L 29/66 - Types de dispositifs semi-conducteurs
H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chen, Po-Cheng
Shih, Chao-Wen
Hsiao, Min-Chien
Ting, Kuo-Chiang
Chen, Yen-Ming
Abrégé
An integrated circuit package and the method of forming the same are provided. The integrated circuit package may include a first die, a first gap-fill layer along sidewalls of the first die, a first bonding layer on the first die and the first gap-fill layer, and a first die connector in the first bonding layer. The first die connector may be directly over an interface between the first die and the first gap-fill layer.
H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 23/31 - Encapsulations, p. ex. couches d’encapsulation, revêtements caractérisées par leur disposition
H01L 23/498 - Connexions électriques sur des substrats isolants
96.
LITHOGRAPHY SYSTEM WITH NON-INVASIVE MONITORING AND METHODS
Taiwan Semiconductor Manufacturing Co., Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Yang, Chi
Yeh, Po-Yuan
Lin, Che-Hsin
Yu, Jen Chieh
Luo, Chung Wen
Abrégé
A method includes: forming a mask layer on a semiconductor wafer; forming a tin droplet, including: supplying tin to a high-pressure reservoir from a low-pressure reservoir; monitoring a level of tin in the high-pressure reservoir by at least two electrodes attached to the high-pressure reservoir; in response to the level of the tin exceeding a threshold value, supplying the tin to a droplet generator from the high-pressure reservoir; forming the tin droplet by the droplet generator using the tin supplied from the high-pressure reservoir; generating light by the tin droplet; and patterning the mask layer by the light.
G03F 7/00 - Production par voie photomécanique, p. ex. photolithographique, de surfaces texturées, p. ex. surfaces impriméesMatériaux à cet effet, p. ex. comportant des photoréservesAppareillages spécialement adaptés à cet effet
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Lu, Cheng Han
Lee, Chia-Fu
Chang, Yen-An
Abrégé
A circuit is disclosed. The circuit includes a first pump circuit configured to receive a first reference voltage and provide an output voltage at a first level based on the first reference voltage. The circuit includes a second pump circuit configured to receive a second reference voltage and provide the output voltage at a second level based on the second reference voltage. The first reference voltage is lower than the second reference voltage, and the first level is lower than the second level.
H02M 3/07 - Transformation d'une puissance d'entrée en courant continu en une puissance de sortie en courant continu sans transformation intermédiaire en courant alternatif par convertisseurs statiques utilisant des résistances ou des capacités, p. ex. diviseur de tension utilisant des capacités chargées et déchargées alternativement par des dispositifs à semi-conducteurs avec électrode de commande
G11C 11/413 - Circuits auxiliaires, p. ex. pour l'adressage, le décodage, la commande, l'écriture, la lecture, la synchronisation ou la réduction de la consommation
TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
Weng, Ming-Hui
Lai, Wei-Han
Chang, Ching-Yu
Abrégé
A photoresist composition includes a solvent and a polymer. The polymer comprises a polymer backbone, an acid labile group monomer, a photo acid generator monomer and a quencher monomer. The acid labile group monomer is bonded to the polymer backbone. The acid labile group monomer is acid cleavable. The photo acid generator monomer is bonded to the polymer backbone. The quencher monomer is bonded to the polymer backbone.
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chang, Meng-Sheng
Huang, Chia-En
Wang, Yih
Abrégé
A memory device including a substrate, a sense amplifier that includes first gate-all-around transistors that have first drain/source regions that extend into the substrate, and bit cells that include fuse memory elements and second gate-all-around transistors. Each of the bit cells includes a fuse memory element having a first terminal connected to an input of the sense amplifier and a second terminal connected to a second gate-all-around transistor that includes second drain/source regions and a bottom dielectric isolation layer under the second drain/source regions.
Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s)
Chen, Wei-Yu
Wong, Cheng-Shiuan
Cheng, Chia-Shen
Kuo, Hsuan-Ting
Pei, Hao-Jan
Lin, Hsiu-Jen
Abrégé
A semiconductor package includes a semiconductor element, at least one electronic die, at least one optical die, an encapsulant, and a substrate. The semiconductor element has a first side and a second side opposing to the first side. The at least one electronic die is disposed over the first side. The at least one optical die is disposed over the first side and next to the at least one electronic die. The encapsulant is disposed on the first side and covers the at least one electronic die, where a sidewall of the at least one optical die is distant from the encapsulant, and a sidewall of the encapsulant is aligned with a sidewall of the semiconductor element. The substrate is disposed over the second side, where the at least one electronic die is electrically coupled to the substrate and the at least one optical die through the semiconductor element.
H01L 25/16 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant de types couverts par plusieurs des sous-classes , , , , ou , p. ex. circuit hybrides
H01L 21/56 - Encapsulations, p. ex. couches d’encapsulation, revêtements
H01L 23/00 - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 23/31 - Encapsulations, p. ex. couches d’encapsulation, revêtements caractérisées par leur disposition
H10B 80/00 - Ensembles de plusieurs dispositifs comprenant au moins un dispositif de mémoire couvert par la présente sous-classe