Applied Materials, Inc.

États‑Unis d’Amérique

Retour au propriétaire

1-100 de 9 935 pour Applied Materials, Inc. et 1 filiale Trier par
Recheche Texte
Brevet
États-Unis - USPTO
Excluant les filiales
Affiner par Reset Report
Propriétaire / Filiale
[Owner] Applied Materials, Inc. 9 935
Applied Materials Israel, Ltd. 4
Date
Nouveautés (dernières 4 semaines) 106
2025 novembre (MACJ) 10
2025 octobre 126
2025 septembre 88
2025 août 99
Voir plus
Classe IPC
H01J 37/32 - Tubes à décharge en atmosphère gazeuse 2 077
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants 1 990
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives 1 521
C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction 1 160
H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p. ex. mandrins, pièces de serrage, pinces 823
Voir plus
Statut
En Instance 2 559
Enregistré / En vigueur 7 376
Résultats pour  brevets
  1     2     3     ...     100        Prochaine page

1.

Methods and Apparatus for Chucking a Bowed Substrate

      
Numéro d'application 18655185
Statut En instance
Date de dépôt 2024-05-03
Date de la première publication 2025-11-06
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Holt, Joshua Stuart
  • Gorchichko, Mariia
  • Jain, Shubhendra Kumar
  • Kumar, Archana
  • Briggs, Benjamin D.
  • Bizyukov, Ivan

Abrégé

Methods and substrate processing systems of chucking a bowed substrate are provided herein. In some embodiments, a substrate processing system includes: a pedestal to support a substrate, the pedestal having a plurality of chucking regions; a warpage detection system having one or more sensors to detect warpage of the substrate; and a plurality of adjustable chucking components disposed in the pedestal corresponding with the plurality of chucking regions, wherein the plurality of adjustable chucking components are configured to facilitate applying different amounts of force, heating, or cooling to the substrate based on the warpage of the substrate.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

2.

GAS ATOMIZED FLUID CLEAN OF ELECTROPLATING CHUCK IN MAINTENANCE CHAMBER

      
Numéro d'application 18656091
Statut En instance
Date de dépôt 2024-05-06
Date de la première publication 2025-11-06
Propriétaire APPLIED Materials, Inc. (USA)
Inventeur(s)
  • Bradley, Benjamin
  • Roh, Kwan Wook
  • Lee, Hokyung
  • Lee, Joo Hyung
  • Park, Young Su
  • Wilson, Gregory J.
  • Harris, Randy

Abrégé

A maintenance chamber configured to reduce contamination on an electroplating chuck, the maintenance chamber including a positioning system configured to rotate, axially move, or both rotate and axially move the electroplating chuck, and a gas atomizing nozzle, wherein the nozzle is configured to spray an atomized fluid onto the electroplating chuck, wherein the atomized fluid is configured to reduce contamination on the electroplating chuck. Further, a method for reducing contamination of an electroplating chuck inside a maintenance chamber, including placing the electroplating chuck inside the maintenance chamber, spraying the electroplating chuck with an atomized fluid from one or more nozzles, and dislodging or eroding contaminants on the electroplating chuck by mechanical interactions between atomized liquid droplets and the contaminants.

Classes IPC  ?

3.

RESONATOR, LINEAR ACCELERATOR CONFIGURATION AND ION IMPLANTATION SYSTEM HAVING TAPERED RESONATOR

      
Numéro d'application 18653650
Statut En instance
Date de dépôt 2024-05-02
Date de la première publication 2025-11-06
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Biloiu, Costel
  • Morrell, David
  • Park, Jr., William Herron
  • Blahnik, David T.
  • Tam, Wai Ming
  • Sinclair, Frank
  • Campbell, Christopher

Abrégé

An ion implanter. The ion implanter may include an ion source to generate an ion beam; and a linear accelerator, to transport and accelerate the ion beam, the linear accelerator comprising a plurality of acceleration stages. A given acceleration stage of the plurality of acceleration stages may include an RF power supply, arranged to output an RF signal, and a drift tube assembly, arranged to transmit the ion beam, and coupled to the RF power supply. The given stage may also include a resonator, the resonator comprising a resonator enclosure, having a tapered shape, wherein the resonator enclosure has a first width in a middle location, a second width at a first end and a third width at a second end, wherein the first width is greater than the second width and greater than the third width.

Classes IPC  ?

  • H01J 37/08 - Sources d'ionsCanons à ions
  • H01J 37/317 - Tubes à faisceau électronique ou ionique destinés aux traitements localisés d'objets pour modifier les propriétés des objets ou pour leur appliquer des revêtements en couche mince, p. ex. implantation d'ions
  • H05H 7/02 - Circuits ou systèmes d'alimentation en énergie haute fréquence
  • H05H 7/22 - Détails d'accélérateurs linéaires, p. ex. tubes de glissement
  • H05H 9/00 - Accélérateurs linéaires

4.

LOW FREQUENCY IMPRINT FOR GRAYSCALE OPTICAL DEVICE FABRICATION

      
Numéro d'application 19122019
Statut En instance
Date de dépôt 2024-01-17
Date de la première publication 2025-11-06
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Luo, Yingdong
  • Yao, Zhengping
  • Zhang, Daihua
  • Zhang, Yingchao
  • Deng, Xiaopei
  • Hourani, Rami
  • Godet, Ludovic

Abrégé

Embodiments described herein relate to methods for forming waveguides with gratings of structures having depths distributions, method includes disposing a resist material over areas of a device material or a substrate corresponding to gratings of structures to be formed having depth distributions, imprinting a stamp into the resist material over areas, the stamp having a positive pattern of the depth distribution, the imprinting the stamp and curing the resist material forms a patterned resist over the areas, releasing the stamp, etching the patterned resist and one of the device material or the substrate to form the depth distributions in the device material or the substrate, and forming the structures in the areas having the depth distributions to form the gratings.

Classes IPC  ?

  • G02B 6/136 - Circuits optiques intégrés caractérisés par le procédé de fabrication par gravure
  • G02B 6/12 - Guides de lumièreDétails de structure de dispositions comprenant des guides de lumière et d'autres éléments optiques, p. ex. des moyens de couplage du type guide d'ondes optiques du genre à circuit intégré

5.

PROCESSING CHAMBER AND METHOD FOR INTEGRATED ETCHING AND DEPOSITION

      
Numéro d'application 18652612
Statut En instance
Date de dépôt 2024-05-01
Date de la première publication 2025-11-06
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Cong, Zhepeng
  • Li, Xuebin
  • Mehrotra, Akhil

Abrégé

Disclosed herewith are a showerhead, a processing chamber, and a method for growing an epitaxial layer. A body of the showerhead has a disk-shape. The body includes a separation section formed by a solid circular sector and a connecting section formed by another circular sector that have a plurality of conduits. The connecting section and the separation section are coplanar and non-overlapping. The processing chamber includes the showerhead, a first gas inlet configured to flow a deposition gas in a lateral direction to a first plenum under the showerhead; and a second gas inlet configured to flow an etchant gas to a second plenum above the showerhead. The plurality of conduits allow the etchant gas to flow in a direction from the first plenum to the second plenum. The method can simultaneously implement both a deposition process and an etching process in the processing chamber.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

6.

ENVELOPE AND ISOLATION PLATE FOR IR TRANSMISSION ADJUSTMENT

      
Numéro d'application 19200122
Statut En instance
Date de dépôt 2025-05-06
Date de la première publication 2025-11-06
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Cong, Zhepeng
  • Sheng, Tao
  • Smith, Nimrod
  • Tham, Benjamin Ean
  • Cosenza, Gracia Maria
  • Warke, Neil

Abrégé

Embodiments of the disclosure provided herein include an apparatus and method for tuning the thermal profile on a substrate in the semiconductor processing chamber. The substrate processing chamber includes an upper body defining a processing volume, a heat source configured to heat the processing volume, a substrate envelope assembly disposed within the processing volume, and a substrate support assembly disposed within the substrate envelope assembly. The substrate processing chamber may also include a heat source disposed above the substrate support assembly and coupled to the upper body, an isolation plate assembly disposed between the substrate support assembly and the heat source, and a substrate envelope assembly. The substrate processing system may also include a pre-heat cylinder, the pre-heat cylinder includes a first replaceable portion with one or more inlet openings and a second replaceable portion with one or more outlet openings.

Classes IPC  ?

  • C30B 25/10 - Chauffage de l'enceinte de réaction ou du substrat
  • C30B 25/08 - Enceintes de réactionEmploi d'un matériau spécifié à cet effet
  • C30B 25/12 - Porte-substrat ou supports
  • C30B 25/14 - Moyens d'introduction et d'évacuation des gazModification du courant des gaz réactifs

7.

CAPTIVE SPRING HOOKS FOR REDUCED ELECTROSTATIC STRESS

      
Numéro d'application 18653463
Statut En instance
Date de dépôt 2024-05-02
Date de la première publication 2025-11-06
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Mclaughlin, Adam M.
  • Gronski, Diana C.
  • Chaney, Craig R.

Abrégé

A faceplate and fasteners for attaching the faceplate to an ion source are disclosed. The faceplate includes a plurality of channels that open to the side of the faceplate. These channels are an elongated shape such that the channels are larger in one direction than in the orthogonal direction. The channels each terminate in an internal cavity, which has a larger size than the channels. The fasteners may be rods that are shaped such that the proximal ends of the fastener have a bent portion, which can only enter the channel when properly oriented. Once the bent portion is passed through the channel and extends into the internal cavity, the fastener can be rotated so as to secure it in place.

Classes IPC  ?

  • H01J 37/08 - Sources d'ionsCanons à ions
  • H01J 37/317 - Tubes à faisceau électronique ou ionique destinés aux traitements localisés d'objets pour modifier les propriétés des objets ou pour leur appliquer des revêtements en couche mince, p. ex. implantation d'ions

8.

GAS ATOMIZED FLUID CLEAN OF ELECTROPLATING CHUCK IN MAINTENANCE CHAMBER

      
Numéro d'application 18923433
Statut En instance
Date de dépôt 2024-10-22
Date de la première publication 2025-11-06
Propriétaire APPLIED Materials, Inc. (USA)
Inventeur(s)
  • Bradley, Benjamin
  • Roh, Kwan Wook
  • Lee, Hokyung
  • Lee, Joo Hyung
  • Park, Young Su
  • Wilson, Gregory J.
  • Harris, Randy

Abrégé

A maintenance chamber configured to reduce contamination on an electroplating chuck, the maintenance chamber including a positioning system configured to rotate, axially move, or both rotate and axially move the electroplating chuck, and a gas atomizing nozzle, wherein the nozzle is configured to spray an atomized fluid onto the electroplating chuck, wherein the atomized fluid is configured to reduce contamination on the electroplating chuck. Further, a method for reducing contamination of an electroplating chuck inside a maintenance chamber, including placing the electroplating chuck inside the maintenance chamber, spraying the electroplating chuck with an atomized fluid from one or more nozzles, and dislodging or eroding contaminants on the electroplating chuck by mechanical interactions between atomized liquid droplets and the contaminants.

Classes IPC  ?

9.

VOLUME REDUCTION IN SEMICONDUCTOR PROCESSING CHAMBER

      
Numéro d'application 18652311
Statut En instance
Date de dépôt 2024-05-01
Date de la première publication 2025-11-06
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Mustafa, Muhannad
  • Yang, Yixiong
  • Lin, Yongjing
  • Gandikota, Srinivas
  • Ramadas, Rakesh
  • Chuttar, Aditya
  • Zheng, Jing

Abrégé

A processing system for semiconductor manufacturing includes a chamber housing and a substrate support disposed in the chamber housing. The system also includes a lift pin coupled to the substrate support and a ring for actuating the lift pin. The ring is movable between a raised position and a lowered position. An expandable filler is disposed in the chamber housing. The expandable filler has an expanded configuration when the ring is in the raised position and has a contracted configuration when the ring is in the lowered position.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p. ex. mandrins, pièces de serrage, pinces

10.

ATOMIC LAYER DEPOSITION OF MOLYBDENUM SILICIDE THIN FILMS

      
Numéro d'application 18654703
Statut En instance
Date de dépôt 2024-05-03
Date de la première publication 2025-11-06
Propriétaire
  • Applied Materials, Inc. (USA)
  • Wayne State University (USA)
Inventeur(s)
  • Knisley, Thomas Joseph
  • Beh, Daniel Wei Ming
  • Devereaux, Zachary J.
  • Gelatos, Avgerinos V.
  • Anthis, Jeffrey W.
  • Winter, Charles H.

Abrégé

Methods for depositing molybdenum silicide films on a substrate are described. The substrate is exposed to a molybdenum- and silicon-containing precursor and a silane reactant to form the molybdenum silicide film. The exposures can be sequential or simultaneous. Inherent deposition selectivity of the process can be achieved through adjusting process parameters, particularly temperature or precursor dose, to produce more deposition on a metal material over a dielectric material.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/42 - Siliciures
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement

11.

ISOLATION MODULE FOR BACKSIDE POWER DELIVERY

      
Numéro d'application 18645229
Statut En instance
Date de dépôt 2024-04-24
Date de la première publication 2025-10-30
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Basker, Veeraraghavan S.
  • Costrini, Gregory
  • Pal, Ashish
  • Colombeau, Benjamin
  • Pranatharthiharan, Balasubramanian
  • Bhosale, Prasad

Abrégé

A method of forming a portion of a gate-all-around field-effect transistor (GAA FET) includes forming placeholders, each interfacing with an extension region electrically isolated from replacement-metal-gate (RMG) stacks by inner spacers, in recesses formed within portions of a substrate isolated by shallow trench isolations (STIs), the recesses extending into a front inter-layer dielectric (ILD) formed on the substrate, removing the placeholders selectively to the substrate and the STIs, forming a cavity at an exposed surface of the extension region within each of the recesses, forming a contact layer within the cavity, forming an interface on the contact layer, and a contact metallization process to form a metal contact within each of the recesses, selectively etching the substrate against the RMG stacks and form ILD recesses between adjacent metal contacts, forming a dielectric liner surrounding the metal contacts, and forming a back ILD in each of the ILD recesses.

Classes IPC  ?

  • H01L 21/8234 - Technologie MIS
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p. ex. condensation
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
  • H01L 29/786 - Transistors à couche mince

12.

FLUX GRADIENT MOLYBDENUM GROWTH PROCESS

      
Numéro d'application 18645741
Statut En instance
Date de dépôt 2024-04-25
Date de la première publication 2025-10-30
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Lei, Wei
  • Patel, Sahil Jaykumar
  • Yue, Shiyu
  • Qi, Zhimin
  • Oh, Ju Hyun

Abrégé

The present disclosure provides methods for processing a semiconductor device substrate. A nucleation layer is deposited on a surface of a feature formed in a surface of a substrate by a first deposition process. The first deposition process including flowing a molybdenum-containing precursor and a reducing agent precursor gas into a processing chamber at a first flow rate ratio of about 1×10−8 to about 2×10−3 of molybdenum-containing precursor to reducing agent. At least a portion of the feature is filled with a molybdenum gap fill material by exposing the deposited nucleation layer feature to a second deposition process. The second deposition process including flowing the molybdenum-containing precursor and the reducing agent precursor gas into a processing chamber at a second flow rate ratio of about 2×10−5 to about 1×10−2 of molybdenum-containing precursor to reducing agent, wherein the second flow rate ratio is greater than the first flow rate ratio.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 21/3205 - Dépôt de couches non isolantes, p. ex. conductrices ou résistives, sur des couches isolantesPost-traitement de ces couches

13.

WIRELESS CHAMBER SENSOR

      
Numéro d'application 18648153
Statut En instance
Date de dépôt 2024-04-26
Date de la première publication 2025-10-30
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Lin, Chuang-Chia
  • Xie, Qianyi

Abrégé

Embodiments disclosed herein relate to an apparatus that includes a housing with a cavity, and a cover with a first surface and a second surface. In an embodiment, the cover is coupled to the housing with the second surface facing the housing. In an embodiment, a sensor is on the first surface of the cover, and a battery is electrically coupled to the sensor. In an embodiment, the battery is within the cavity.

Classes IPC  ?

  • H01J 37/244 - DétecteursComposants ou circuits associés
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H02J 50/20 - Circuits ou systèmes pour l'alimentation ou la distribution sans fil d'énergie électrique utilisant des micro-ondes ou des ondes radio fréquence

14.

GAS ATOMIZED PREWETTING CHAMBER AND CLEANING SYSTEM AND METHOD

      
Numéro d'application 18649977
Statut En instance
Date de dépôt 2024-04-29
Date de la première publication 2025-10-30
Propriétaire APPLIED Materials, Inc. (USA)
Inventeur(s)
  • Mchugh, Paul R.
  • Bradley, Benjamin
  • Harris, Randy A.

Abrégé

A semiconductor substrate wetting and cleaning system includes a processing chamber (12) and a rotatable head disposed in the processing chamber. A coupler or chuck (24) couples a semiconductor substrate or wafer (18) to the rotatable head. At least one gas atomized spray nozzle (20) is directed at the semiconductor substrate (18) when coupled to the coupler. A source (21) of wetting/cleaning fluid is in flow communication with the spray nozzle (20), and a source (23) of atomizing gas also is in flow communication with the spray nozzle (20) to atomize the wetting/cleaning fluid.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

15.

SUBSTRATE MEASUREMENT SYSTEMS HAVING SUBSTRATE HOLDERS

      
Numéro d'application 18650486
Statut En instance
Date de dépôt 2024-04-30
Date de la première publication 2025-10-30
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Hu, Chenfei
  • Liu, Chao
  • Liao, Chien-Min
  • Shull, Marc David
  • Kutney, Michael

Abrégé

An apparatus includes a substrate holder having a surface to receive a substrate. The surface has a texture that causes an optical beam incident on the surface to scatter in at least one direction away from an optical detector to be located above the substrate holder.

Classes IPC  ?

  • G01J 3/02 - SpectrométrieSpectrophotométrieMonochromateursMesure de la couleur Parties constitutives
  • G01J 3/10 - Aménagements de sources lumineuses spécialement adaptées à la spectrométrie ou à la colorimétrie
  • G01J 3/42 - Spectrométrie d'absorptionSpectrométrie à double faisceauSpectrométrie par scintillementSpectrométrie par réflexion
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

16.

SEMICONDUCTOR PROCESS EQUIPMENT

      
Numéro d'application 18651146
Statut En instance
Date de dépôt 2024-04-30
Date de la première publication 2025-10-30
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Prasad, Bhaskar
  • Brezoczky, Thomas
  • Savandaiah, Kirankumar Neelasandra
  • Dwivedi, Mayank
  • Sendobry, Alexander

Abrégé

A station for a substrate processing system that includes a magnetic levitation actuator assembly disposed in a first region of the station that is separated from a second region of the station by a membrane. The magnetic levitation actuator assembly is configured to contactlessly convey a carrier disposed in the second region to one or more positions within the second region.

Classes IPC  ?

  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p. ex. entre différents postes de travail
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

17.

DIGITAL LITHOGRAPHY OVERLAY METROLOGY

      
Numéro d'application 19077793
Statut En instance
Date de dépôt 2025-03-12
Date de la première publication 2025-10-30
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Jeong, Hwan Joo
  • Chen, Jang Fung
  • Chuang, Chun-Chih
  • Hu, Yung Peng

Abrégé

Embodiments of the present disclosure provide a method including capturing an image having an alignment mark, rotating the captured image by a first amount to produce a rotated image to determine a center point of the alignment mark and establishing a positional relationship between the rotated image and the captured image. The method further includes separating the alignment mark into a first alignment mark portion and a second alignment mark portion, rotating the first alignment mark portion by the first amount, establishing a positional relationship between the rotated first segment and the first alignment mark portion to determine a center point of the first alignment mark portion, rotating the second alignment mark portion by the first amount, establishing a positional relationship between the rotated second segment and the second alignment mark portion to determine a center point of the second alignment mark portion, and computing an overlay error.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p. ex. photolithographique, de surfaces texturées, p. ex. surfaces impriméesMatériaux à cet effet, p. ex. comportant des photoréservesAppareillages spécialement adaptés à cet effet

18.

ELECTROCHEMICAL REDUCTION OF SURFACE METAL OXIDES

      
Numéro d'application 19263848
Statut En instance
Date de dépôt 2025-07-09
Date de la première publication 2025-10-30
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Yang, Haotian
  • Shin, Yoon Ah
  • Tavakoli, Mohammad Mahdi
  • Mebarki, Bencherki
  • Lee, Joung Joo
  • Tang, Xianmin
  • Chua, Thai Cheng
  • Valencia, Christian W.

Abrégé

Embodiments of the disclosure generally relate to methods for converting surface metal oxides to pure metal. In particular, embodiments of the disclosure pertain to methods for reducing metal oxides by microwave process. In some embodiments, a method includes positioning a semiconductor structure within a processing chamber. The semiconductor structure includes an SiO2 layer deposited on a substrate surface, a hardmask layer deposited over the SiO2 layer, a feature formed from a low-k dielectric material deposited over a portion of the hardmask layer, and a metal layer deposited in the feature. The metal layer includes a molybdenum (Mo) layer and a molybdenum oxide layer (MoOx). The method further includes flowing a process gas into the processing chamber. The process gas includes carbon monoxide. The method further includes applying a microwave energy to the process gas to perform a redox operation on a portion of the semiconductor structure.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • C23G 5/00 - Nettoyage ou dégraissage des matériaux métalliques par d'autres méthodesAppareils pour le nettoyage ou le dégraissage de matériaux métalliques au moyen de solvants organiques

19.

BIAS MODULATION FOR MOLYBDENUM OXIDE REDUCTION IN BEOL

      
Numéro d'application 18645570
Statut En instance
Date de dépôt 2024-04-25
Date de la première publication 2025-10-30
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Cen, Jiajie
  • Zhou, Ruinan
  • Umesh, Suhas
  • Yang, Hsien-Lung
  • Sun, Tianyi

Abrégé

Embodiments herein are generally directed to systems and methods for removing metal oxide layers for back-end-of-line processes. A substrate processing system includes a processing chamber configured to generate a plasma within the processing chamber, a substrate electrode embedded within a substrate support assembly disposed within the processing chamber, a radio frequency (RF) generator assembly coupled to the substrate electrode, and a controller. The controller is configured to flow a cleaning gas over a surface of a substrate support disposed within a processing chamber and generate a radio frequency (RF) pulsed bias that delivers an RF waveform for a first portion of a pulse period and halts the delivery of the RF waveform for a second portion of the pulse period and apply the RF pulsed bias to the substrate electrode while the plasma is present in the processing chamber.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

20.

NOZZLE ASSEMBLY FOR A FLUID RECOVERY SYSTEM

      
Numéro d'application 18646597
Statut En instance
Date de dépôt 2024-04-25
Date de la première publication 2025-10-30
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Navasca, Robert
  • Mylappanahalli Narasingaiah, Chetan Kumar
  • Dickinson, Colin John

Abrégé

The present disclosure generally relates to a nozzle assembly, a polishing system, and a method for operating the polishing system. The nozzle assembly includes an upper manifold attached to a top surface of a platen and a lower manifold attached to a bottom surface of the platen. The upper manifold secures a slurry-collecting line having a slurry-collecting tube and a cleaning line having a cleaning tube. The cleaning line has a waste-collecting valve and a cleaning valve that are secured by the lower manifold. The polishing system includes a polishing platen to support a polishing pad; a gutter disposed around the polishing platen; and a slurry-collecting line comprising a slurry-collecting tube coupled with a slurry-collecting valve, the slurry-collecting tube extending into the gutter, the slurry-collecting line being configured to remove slurries out of the gutter in a slurry-collecting mode and dispense a flushing agent in a flushing mode.

Classes IPC  ?

  • B24B 57/02 - Dispositifs pour l'alimentation, l'application, le triage ou la récupération de produits de meulage, polissage ou rodage pour l'alimentation en produits de meulage, polissage ou rodage à l'état fluide, vaporisés, pulvérisés ou liquéfiés
  • H01L 21/306 - Traitement chimique ou électrique, p. ex. gravure électrolytique

21.

PROCESSING MODULE HAVING A PRIMING SYSTEM

      
Numéro d'application 18647587
Statut En instance
Date de dépôt 2024-04-26
Date de la première publication 2025-10-30
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Jayaraman, Manikandan
  • Sukumaran, Jagadeesh Kumar
  • Rangarajan, Jagan
  • Huang, Yuwen
  • Zheng, Yue
  • Ding, Yunshuang
  • Mikhaylichenko, Ekaterina
  • Thao, Timothy

Abrégé

Disclosed herein are a priming system, a substrate cleaning module having the priming system, and a method of operating the substrate cleaning module. The priming system includes a diversion valve configured to selectively direct a flow of an agent; a priming nozzle mechanism coupled with the diversion valve; and a separation chamber coupled with the priming nozzle mechanism. The priming nozzle mechanism includes a releasing nozzle configured to release the agent inside the separation chamber, and the separation chamber is configured to process the agent. The method of operating the substrate cleaning module includes operations to redirect an agent from a dispensing nozzle to a releasing nozzle during a time period when the dispensing nozzle stops dispensing the agent. The method further includes operations to continue dispensing the agent by the releasing nozzle during the same time period when the dispensing nozzle stops dispensing the agent.

Classes IPC  ?

  • B08B 3/02 - Nettoyage par la force de jets ou de pulvérisations
  • B01D 19/00 - Dégazage de liquides
  • B08B 3/08 - Nettoyage impliquant le contact avec un liquide le liquide ayant un effet chimique ou dissolvant
  • B08B 5/02 - Nettoyage par la force de jets, p. ex. le soufflage de cavités
  • B24B 37/34 - Accessoires
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

22.

IN SITU WAFER SEAL CHUCK DEFECTS IDENTIFICATION

      
Numéro d'application 18647598
Statut En instance
Date de dépôt 2024-04-26
Date de la première publication 2025-10-30
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Sheelavant, Gangadhar
  • Vasu, Karthick
  • Hiregoudra, Prabhugouda Shekharagouda
  • Harris, Randy A
  • Juntunen, Aaron

Abrégé

Exemplary wafer seal chuck assembly maintenance chambers may include a chamber body defining an open interior. The chambers may include a plurality of chuck assembly supports disposed within the open interior. The plurality of chuck assembly supports may be rotatable within the open interior. The chambers may include a tool arm that is positionable above the open interior. The chambers may include an imaging device mounted on a distal end of the tool arm.

Classes IPC  ?

  • G06T 7/00 - Analyse d'image
  • G01M 3/38 - Examen de l'étanchéité des structures ou ouvrages vis-à-vis d'un fluide par utilisation de la lumière
  • G08B 21/18 - Alarmes de situation
  • H04N 23/11 - Caméras ou modules de caméras comprenant des capteurs d'images électroniquesLeur commande pour générer des signaux d'image à partir de différentes longueurs d'onde pour générer des signaux d'image à partir de longueurs d'onde de lumière visible et infrarouge

23.

DEEP TRENCH SIDEWALL PASSIVATION USING CONFORMAL PLASMA DOPING AND LOW-TEMPERATURE THERMAL TREATMENTS

      
Numéro d'application 18647736
Statut En instance
Date de dépôt 2024-04-26
Date de la première publication 2025-10-30
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Bhosle, Vikram M.
  • Chang, Hung Chih
  • Bekiaris, Nikolaos
  • Ramaswami, Seshadri
  • Mittal, Deven Raj
  • Lo, Andy
  • Sharma, Shashank

Abrégé

The disclosure provides approaches for forming complementary metal-oxide-semiconductor image sensors having passivated sidewalls using plasma doping and low-temperature thermal processes. One approach may include a method may include providing a main body of a complementary metal oxide semiconductor image sensor, and forming a plurality of trenches in a back side of the main body, wherein each of the plurality of trenches includes a set of sidewalls and a base extending between the set of sidewalls. The method may further include performing a plasma treatment to form a doped layer along the base and along each of the set of sidewalls, performing a thermal treatment on the doped layer, and forming a dielectric layer over the doped layer following the thermal treatment.

Classes IPC  ?

24.

LIFT PINS TO FACILITATE UNIFORMITY, AND RELATED COMPONENTS, CHAMBER KITS, PROCESSING CHAMBERS, AND METHODS

      
Numéro d'application 18647984
Statut En instance
Date de dépôt 2024-04-26
Date de la première publication 2025-10-30
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Cong, Zhepeng
  • Tham, Benjamin Ean

Abrégé

The present disclosure relates to lift pins that facilitate uniformity, and related components, chamber kits, processing chambers, and methods for semiconductor manufacturing. In one or more embodiments, a lift pin for processing chambers includes a rod including a shaft section and a head section. The head section includes an opening formed in an outer edge of the head section and extending radially inwardly. The lift pin includes a pad sized and shaped to fit at least partially around the head section of the rod such that the head section of the rod is movable relative to the pad within a movement range.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p. ex. mandrins, pièces de serrage, pinces

25.

WIRELESS CHAMBER INTERIOR SENSOR

      
Numéro d'application 18648144
Statut En instance
Date de dépôt 2024-04-26
Date de la première publication 2025-10-30
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Xie, Qianyi
  • Lin, Chuang-Chia

Abrégé

Embodiments disclosed herein relate to an apparatus that includes a chamber with an interior surface, and a sensor system coupled to the interior surface. In an embodiment, the sensor system includes a board, and a sensor antenna on the board. In an embodiment, a sensor is communicatively coupled to the sensor antenna, where the sensor is configured to be powered by the sensor antenna. In an embodiment, a chamber antenna is within the chamber, where the chamber antenna is configured to communicatively couple with the sensor antenna.

Classes IPC  ?

  • H01J 37/244 - DétecteursComposants ou circuits associés
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H02J 50/20 - Circuits ou systèmes pour l'alimentation ou la distribution sans fil d'énergie électrique utilisant des micro-ondes ou des ondes radio fréquence

26.

SUBSTRATE HOLDER SYSTEMS

      
Numéro d'application 18650491
Statut En instance
Date de dépôt 2024-04-30
Date de la première publication 2025-10-30
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Hu, Chenfei
  • Liu, Chao
  • Liao, Chien-Min
  • Shull, Marc David
  • Kutney, Michael
  • Cho, Thomas K.
  • Beaudry, Christopher Laurent

Abrégé

Embodiments described herein relate to substrate holder systems. An apparatus includes a substrate holder having a surface to receive a substrate. The surface has a texture that causes an optical beam incident on the surface to scatter in at least one direction away from an optical detector to be located above the substrate holder.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p. ex. mandrins, pièces de serrage, pinces

27.

METHOD AND MATERIAL SYSTEM FOR BACKSIDE POWER DELIVERY NETWORK IN STATIC RANDOM-ACCESS MEMORY DEVICES

      
Numéro d'application 18650831
Statut En instance
Date de dépôt 2024-04-30
Date de la première publication 2025-10-30
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Vyas, Pratik B.
  • Costrini, Gregory
  • Pal, Ashish
  • Bazizi, El Mehdi
  • Basker, Veeraraghavan S.
  • Colombeau, Benjamin
  • Pranatharthiharan, Balasubramanian

Abrégé

Methods and structure for static random-access memory (SRAM) devices with SRAM cells that have backside power delivery networks. A semiconductor device can include one or more static random-access memory cells. Each SRAM cell can include a backside power delivery network with a drain voltage rail and a source voltage rail. Each SRAM cell can also include a memory layer overlaying the backside power delivery network. The memory layer can implement an SRAM memory element. The drain voltage rail and the source voltage rail are connected to contacts at a top of the SRAM memory element. Each SRAM cell can also include a frontside layer overlaying the memory layer. The memory layer can include a word line and a bit line that are connected to the top of the SRAM memory element.

Classes IPC  ?

  • H10B 10/00 - Mémoires statiques à accès aléatoire [SRAM]

28.

SEMICONDUCTOR PROCESS EQUIPMENT

      
Numéro d'application 18651213
Statut En instance
Date de dépôt 2024-04-30
Date de la première publication 2025-10-30
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Prasad, Bhaskar
  • Brezoczky, Thomas
  • Savandaiah, Kirankumar Neelasandra
  • Dwivedi, Mayank
  • Sendobry, Alexander

Abrégé

A station for a substrate processing system that includes a magnetic levitation actuator assembly disposed in a first region of the station that is separated from a second region of the station by a membrane. The magnetic levitation actuator assembly is configured to contactlessly convey a carrier disposed in the second region to one or more positions within the second region.

Classes IPC  ?

  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p. ex. entre différents postes de travail
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/68 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le positionnement, l'orientation ou l'alignement

29.

SEMICONDUCTOR PROCESS EQUIPMENT

      
Numéro d'application 18651243
Statut En instance
Date de dépôt 2024-04-30
Date de la première publication 2025-10-30
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Prasad, Bhaskar
  • Brezoczky, Thomas
  • Savandaiah, Kirankumar Neelasandra
  • Dwivedi, Mayank
  • Sendobry, Alexander

Abrégé

A station for a substrate processing system that includes a magnetic levitation actuator assembly disposed in a first region of the station that is separated from a second region of the station by a membrane. The magnetic levitation actuator assembly is configured to contactlessly convey a carrier disposed in the second region to one or more positions within the second region.

Classes IPC  ?

  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p. ex. entre différents postes de travail
  • B65G 54/02 - Transporteurs non mécaniques, non prévus ailleurs électrostatiques, électriques ou magnétiques
  • H02N 15/00 - Dispositifs de maintien ou de lévitation utilisant l'attraction ou la répulsion magnétique, non prévus ailleurs

30.

IN SITU DEPOSITION OF FILMSTACKS FOR EUV PATTERNING

      
Numéro d'application 19193029
Statut En instance
Date de dépôt 2025-04-29
Date de la première publication 2025-10-30
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Manna, Pramit
  • Rathi, Sudha
  • Wang, Rui
  • Lee, Soonil
  • Janakiraman, Karthik
  • Mallick, Abhijit B.

Abrégé

In some embodiments, the present disclosure provides methods of processing substrates. A first hardmask gas is introduced to a processing volume of a processing chamber to form an amorphous carbon hardmask film on a substrate disposed in the processing volume. The first hardmask gas includes a carbon containing gas. A second hardmask gas is introduced to the processing volume to form a silicon hardmask film on the amorphous carbon hardmask film. The second hardmask gas includes a silicon containing gas. An underlayer gas mixture is introduced to the processing volume to deposit a resist underlayer on the silicon hardmask film.

Classes IPC  ?

  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
  • G03F 7/16 - Procédés de couchageAppareillages à cet effet
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

31.

HAFNIUM ALUMINUM OXIDE COATINGS DEPOSITED BY ATOMIC LAYER DEPOSITION

      
Numéro d'application 19261034
Statut En instance
Date de dépôt 2025-07-07
Date de la première publication 2025-10-30
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Fenwick, David
  • Sun, Jennifer Y.
  • Chou, Cheng-Hsuan
  • He, Xiao Ming

Abrégé

Embodiments of the present disclosure relate to articles, coated articles, and methods of coating such articles with a corrosion resistant coating. The corrosion resistant coating can comprise hafnium aluminum oxide. The corrosion resistant coating may be deposited by a non-line of sight deposition, such as atomic layer deposition. Articles that may be coated may include chamber components, such as gas lines.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/40 - Oxydes
  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence

32.

ION SOURCE FOR CONTROLLING DECOMPOSITION BUILDUP USING CHLORINE CO-GAS

      
Numéro d'application 19261609
Statut En instance
Date de dépôt 2025-07-07
Date de la première publication 2025-10-30
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Navarro Goldaraz, Mateo
  • Wright, Graham
  • Noked, Ori

Abrégé

An ion source for generating an ion beam containing aluminum ions is disclosed. The ion source includes a first gas source to introduce an organoaluminium compound into the arc chamber of the ion source. A second gas, different from the first gas, which is a chlorine-containing gas is also introduced to the arc chamber. The chloride co-flow reduces the buildup of decomposition material that occurs within the arc chamber. This buildup may occur at the gas bushing, the extraction aperture or near the repeller. In some embodiments, the second gas is introduced continuously. In other embodiments, the second gas is periodically introduced, based on hours of operation or the measured uniformity of the extracted ion beam. The second gas may be introduced from second gas source or from a vaporizer.

Classes IPC  ?

  • H01J 27/02 - Sources d'ionsCanons à ions
  • H01J 27/26 - Sources d'ionsCanons à ions utilisant l'ionisation de surface, p. ex. sources d'ions à effet de champ, sources d'ions thermo-ioniques

33.

GUARDBANDS IN SUBSTRATE PROCESSING SYSTEMS

      
Numéro d'application 19264698
Statut En instance
Date de dépôt 2025-07-09
Date de la première publication 2025-10-30
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Iskandar, Jimmy
  • Li, Fei
  • Moyne, James Robert

Abrégé

A method includes identifying a dynamic acceptable area outside of guardband limits. The method further includes causing, based on the dynamic acceptable area outside of the guardband limits, performance of a corrective action associated with a substrate processing system.

Classes IPC  ?

  • G05B 19/418 - Commande totale d'usine, c.-à-d. commande centralisée de plusieurs machines, p. ex. commande numérique directe ou distribuée [DNC], systèmes d'ateliers flexibles [FMS], systèmes de fabrication intégrés [IMS], productique [CIM]
  • G06N 20/00 - Apprentissage automatique

34.

RAPID THERMAL PROCESSING (RTP) CHAMBER OUTGASSING REMOVAL

      
Numéro d'application 19264721
Statut En instance
Date de dépôt 2025-07-09
Date de la première publication 2025-10-30
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s) Aderhold, Wolfgang

Abrégé

Embodiments disclosed herein include a method of monitoring a condition of a chamber. In an embodiment, the method comprises processing a substrate in the chamber, providing substrate history and chamber data to a model of the chamber, where the model of the chamber is configured to predict a chamber cleanliness, comparing the predicted chamber cleanliness against a performance limit, and flagging the chamber for preventive maintenance (PM) when the predicted chamber cleanliness is above the performance limit.

Classes IPC  ?

  • B08B 13/00 - Accessoires ou parties constitutives, d'utilisation générale, des machines ou appareils de nettoyage
  • B08B 5/00 - Nettoyage par des procédés impliquant l'utilisation d'un courant d'air ou de gaz
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

35.

Display panel portion with graphical user interface

      
Numéro d'application 29954256
Numéro de brevet D1099945
Statut Délivré - en vigueur
Date de dépôt 2024-07-25
Date de la première publication 2025-10-28
Date d'octroi 2025-10-28
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Bishara, Waheb
  • Miyagi, Takemasa

36.

Display panel portion with graphical user interface

      
Numéro d'application 29954258
Numéro de brevet D1099946
Statut Délivré - en vigueur
Date de dépôt 2024-07-25
Date de la première publication 2025-10-28
Date d'octroi 2025-10-28
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Bishara, Waheb
  • Miyagi, Takemasa

37.

SELECTIVE PLASMA ASSISTED DEPOSITION OF A MOLYBDENUM SILICIDE

      
Numéro d'application 18643137
Statut En instance
Date de dépôt 2024-04-23
Date de la première publication 2025-10-23
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Jiang, Ying-Bing
  • Lee, Joung Joo
  • Gelatos, Avgerinos V.
  • Cen, Jiajie
  • Wu, Liqi
  • Hu, Yang
  • Empante, Thomas

Abrégé

A method includes positioning a substrate within a processing chamber that comprises a feature formed within a dielectric layer formed over an underlayer, delivering an RF power to the processing chamber to generate a plasma over the substrate including: delivering a processing gas during a first time period, delivering a reactive gas into a flow of the processing gas during a second time period to form a pretreatment gas, delivering a deposition gas during a third time period, the deposition gas comprising a precursor gas and the pretreatment gas; and delivering a post-treatment gas during a fourth time period comprising halting the delivering of the precursor gas during the fourth time period, halting the delivering of the RF power and delivering the precursor gas into a flow of the post-treatment gas during a fifth time period, and purging the processing chamber during a sixth time period.

Classes IPC  ?

  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p. ex. condensation
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

38.

SEQUENTIAL SELF-ALIGNING METHOD IN COMPLEMENTARY FIELD EFFECT TRANSISTOR DEVICES

      
Numéro d'application 19094489
Statut En instance
Date de dépôt 2025-03-28
Date de la première publication 2025-10-23
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Pranatharthiharan, Balasubramanian
  • Costrini, Gregory
  • Pal, Ashish
  • Bazizi, El Mehdi
  • Ren, He

Abrégé

Described are methods for forming complementary field-effect transistor (CFET), or other vertically aligned semiconductor structures, utilizing a sequential self-aligning process. In one example, a method of forming a complementary field-effect transistor (CFET) is provide. The method includes replacing top sacrificial layers interleaved between channel layers in a top superlattice of a top device structure with top replacement metal gate layers, the top device structure disposed on a bottom device structure, the bottom device structure disposed on a first substrate layer; securing a second substrate layer to the top device structure and removing the first substrate layer from the bottom device structure; and replacing bottom sacrificial layers interleaved between channel layers in a bottom superlattice of the bottom device structure with bottom replacement metal gate layers.

Classes IPC  ?

39.

DELIVERY OF CONFIGURABLE PULSED VOLTAGE WAVEFORMS FOR SUBSTRATE PROCESSING

      
Numéro d'application 19096353
Statut En instance
Date de dépôt 2025-03-31
Date de la première publication 2025-10-23
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Zhang, Shouyin
  • Adimadhyam, Sireesh
  • Miller, Keith A.

Abrégé

Methods and apparatus for delivering configurable pulsed voltage waveforms to an electrode for substrate processing. One example method generally includes applying a positive DC bias relative to ground to a first electrode disposed within a processing region of a processing chamber. The positive DC bias is configured to alter a plasma potential relative to ground of a plasma formed in the processing region of the processing chamber. The method also generally includes delivering a pulsed-voltage (PV) waveform to a second electrode disposed in a substrate support within the processing chamber. Amplitudes of pulses of the PV waveform extend from a positive voltage relative to ground to a negative voltage relative to ground. The positive voltage relative to ground is greater than the plasma potential relative to ground.

Classes IPC  ?

  • H01J 37/34 - Tubes à décharge en atmosphère gazeuse fonctionnant par pulvérisation cathodique
  • C23C 14/34 - Pulvérisation cathodique
  • C23C 14/54 - Commande ou régulation du processus de revêtement
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p. ex. condensation

40.

HEATER WITH EXTERNAL OUTER ZONE THERMOCOUPLE CHANNEL THROUGH HEATER SHAFT

      
Numéro d'application 19174001
Statut En instance
Date de dépôt 2025-04-09
Date de la première publication 2025-10-23
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Li, Jian
  • Goratela, Ankit Atul
  • Karonnan Ramapurath, Ajith
  • Simha, Ravi
  • Vyas, Devarshi
  • Rocha-Alvarez, Juan Carlos

Abrégé

Embodiments of the present disclosure provide a system and method for constructing a heater assembly. The method includes forming a groove on a bottom surface of a heater plate, forming an opening through a sidewall of a heater shaft, bonding the heater shaft to the heater plate such that the opening through the sidewall of the heater shaft cooperates with the groove of the bottom surface of the heater plate to define a channel, and inserting a thermocouple into the opening formed through the sidewall of the heater shaft and into the groove of the heater plate.

Classes IPC  ?

  • H05B 3/24 - Éléments chauffants ayant une surface s'étendant essentiellement dans deux dimensions, p. ex. plaques chauffantes non flexibles le conducteur chauffant étant autoportant
  • G01K 1/14 - SupportsDispositifs de fixationDispositions pour le montage de thermomètres en des endroits particuliers
  • G01K 7/02 - Mesure de la température basée sur l'utilisation d'éléments électriques ou magnétiques directement sensibles à la chaleur utilisant des éléments thermo-électriques, p. ex. des thermocouples
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H05B 3/06 - Éléments chauffants combinés constructivement avec des éléments d'accouplement ou avec des supports

41.

VARIABLY CHOKED PRESSURE RATE-OF-RISE MASS FLOW VERIFIER

      
Numéro d'application 19179974
Statut En instance
Date de dépôt 2025-04-15
Date de la première publication 2025-10-23
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Okada, Ashley
  • Xu, Ming
  • Krishnamurthy, Srikanth
  • Jendrzey, Andreas Johannes

Abrégé

A method includes opening a flow path from a gas stick through a variable orifice, a chamber, and an outlet isolation valve of the chamber. The method further includes causing a gas to flow through the flow path at a flow rate setpoint. The method further includes actuating an opening of the variable orifice to establish a choked pressure regime within the chamber, the choked pressure regime being achieved by causing a first pressure upstream of the variable orifice to be at least two times a second pressure downstream of the variable orifice. The method further includes closing the outlet isolation valve to cause the chamber to be filled with the gas from the gas stick. The method further includes measuring a pressure rate-of-rise within the chamber. The method further includes determining one or more flow measurements based at least in part on the pressure rate-of-rise.

Classes IPC  ?

  • G01F 1/37 - Mesure du débit volumétrique ou du débit massique d'un fluide ou d'un matériau solide fluent, dans laquelle le fluide passe à travers un compteur par un écoulement continu en utilisant des effets mécaniques en mesurant la pression ou la différence de pression la pression ou la différence de pression étant produite par une contraction de la veine fluide la pression ou la différence de pression étant mesurée au moyen de tubes ou de vases communiquants avec des niveaux variables de fluide, p. ex. tubes en U
  • G01L 13/04 - Dispositifs ou appareils pour la mesure des différences entre plusieurs valeurs de la pression des fluides en utilisant des flotteurs ou des liquides comme éléments sensibles
  • G01L 19/00 - Détails ou accessoires des appareils pour la mesure de la pression permanente ou quasi permanente d'un milieu fluent dans la mesure où ces détails ou accessoires ne sont pas particuliers à des types particuliers de manomètres

42.

EDDY CURRENT MONITORING TO DETECT VIBRATION IN POLISHING

      
Numéro d'application 19258451
Statut En instance
Date de dépôt 2025-07-02
Date de la première publication 2025-10-23
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Xu, Kun
  • Higashi, Patrick A.
  • Iravani, Hassan G.
  • Lee, Harry Q.
  • Wu, Haosheng
  • Wu, Eric T.
  • Cui, Ningzhuo
  • Oh, Jeonghoon
  • Lai, Christopher
  • Qian, Jun

Abrégé

A body is brought into contact with a polishing pad of a polishing system, a polishing liquid is supplied to the polishing pad, relative motion between the body and the polishing pad is generated while the body contacts the polishing pad, a signal from an in-situ eddy current monitoring system during the relative motion while the body contacts the polishing pad, generating, and mechanical vibrations in the polishing system are detected based on a signal from the in-situ eddy current monitoring system.

Classes IPC  ?

  • B24B 49/10 - Appareillage de mesure ou de calibrage pour la commande du mouvement d'avance de l'outil de meulage ou de la pièce à meulerAgencements de l'appareillage d'indication ou de mesure, p. ex. pour indiquer le début de l'opération de meulage impliquant des dispositifs électriques
  • B24B 37/005 - Moyens de commande pour machines ou dispositifs de rodage
  • B24B 37/26 - Tampons de rodage pour travailler les surfaces planes caractérisés par la forme ou le profil de la surface du tampon de rodage, p. ex. rainurée

43.

CHEMICAL SEPARATION FOR FLUORINE RECIRCULATION

      
Numéro d'application 18639108
Statut En instance
Date de dépôt 2024-04-18
Date de la première publication 2025-10-23
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Tripathi, Vijay
  • Sharma, Vijay Bhan
  • Nadimpalli, Naga Ravikumar Varma
  • Chandran, Gopi

Abrégé

A system is provided, wherein the system includes a remote plasma source, a process chamber, a pressure swing adsorption filter and a chemical adsorption filter. The pressure swing adsorption filter and the chemical adsorption filter the exhaust from the process chamber to produce a filtered exhaust being a fluorine rich gas stream.

Classes IPC  ?

44.

3D PRINTING USING ALD-COATED POWDER

      
Numéro d'application 18639677
Statut En instance
Date de dépôt 2024-04-18
Date de la première publication 2025-10-23
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Woo, Katherine E
  • Sun, Jennifer Y

Abrégé

Exemplary methods of forming a sintered semiconductor chamber component may include applying a binder solution and a ceramic-containing powder having a corrosion-resistant coating to a print bed to form a body of a semiconductor component. The methods may include sintering the body of the semiconductor component to form the semiconductor component from the ceramic-containing powder having the corrosion-resistant coating.

Classes IPC  ?

  • B22F 10/14 - Formation d’un corps vert par projection de liant sur un lit de poudre
  • B22F 1/17 - Particules métalliques revêtues de métal
  • B22F 5/00 - Fabrication de pièces ou d'objets à partir de poudres métalliques caractérisée par la forme particulière du produit à réaliser
  • B33Y 10/00 - Procédés de fabrication additive
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

45.

SELECTIVE CHEMICAL METHOD FOR CONTACT HOLE SHRINKING

      
Numéro d'application 18639705
Statut En instance
Date de dépôt 2024-04-18
Date de la première publication 2025-10-23
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Wang, Likun
  • Fung, Nancy
  • Wojtecki, Rudy
  • Shen, Zeqing
  • Mallick, Abhijit
  • Sharma, Kashish
  • Sachan, Madhur

Abrégé

Embodiments disclosed herein include a method for treating a resist layer comprising a patterned feature with a chemical vapor deposition (CVD) process. In an embodiment, the CVD process reduces a dimension of the patterned feature, and the CVD process includes flowing a precursor gas into a chamber that infuses into the resist layer. In an embodiment, the method further comprises transferring the patterned feature into a layer below the resist layer.

Classes IPC  ?

  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

46.

MICROWAVE APPARATUS FOR DUAL MODE OPERATION AND METHODS OF USE

      
Numéro d'application 18637574
Statut En instance
Date de dépôt 2024-04-17
Date de la première publication 2025-10-23
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Mebarki, Bencherki
  • Shin, Yoon Ah
  • Lee, Joung Joo

Abrégé

Semiconductor manufacturing processing chambers with dual mode microwave sources and methods of use are described. The methods comprise removing carbon residue from a substrate surface by exposing the substrate surface located adjacent to a first side of a permeable barrier to a microwave plasma generated by a microwave source located adjacent to a second side of the permeable barrier. Metal oxides are reduced from a substrate surface by exposing the substrate surface to microwave radiation from the microwave source through the permeable barrier without generating a plasma.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • B08B 5/00 - Nettoyage par des procédés impliquant l'utilisation d'un courant d'air ou de gaz
  • B08B 7/00 - Nettoyage par des procédés non prévus dans une seule autre sous-classe ou un seul groupe de la présente sous-classe
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

47.

AUTOMATED MACHINE LEARNING WAFERLESS CHAMBER CONDITIONING PROCESS FOR THERMAL SEMICONDUCTOR PROCESS CHAMBERS

      
Numéro d'application 18640194
Statut En instance
Date de dépôt 2024-04-19
Date de la première publication 2025-10-23
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Hilkene, Martin A.
  • Rammohan, Amritha
  • Cho, Yunil

Abrégé

Methods and devices for automatically conditioning a processing chamber are disclosed herein. Embodiments include determining a high chamber temperature value and a low chamber temperature value based on measuring temperatures associated with the chamber, wherein: the high chamber temperature value is determined based on detecting a peak temperature value associated with the chamber during substrate processing; and the low chamber temperature value is determined based on detecting a minimum temperature value associated with the chamber during the substrate processing. Embodiments further include heating the chamber until a detected temperature associated with the chamber reaches the high chamber temperature value. Embodiments further include cooling the chamber until a corresponding detected temperature associated with the chamber reaches the low chamber temperature value.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • G05B 13/02 - Systèmes de commande adaptatifs, c.-à-d. systèmes se réglant eux-mêmes automatiquement pour obtenir un rendement optimal suivant un critère prédéterminé électriques

48.

DUAL PLASMA TREATMENT PROCESS

      
Numéro d'application 18642522
Statut En instance
Date de dépôt 2024-04-22
Date de la première publication 2025-10-23
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Lee, Jung Chan
  • Li, Xiao
  • Jha, Praket Prakash
  • Liang, Jingmei
  • Ji, Xiang
  • Chinthamanipeta Sripadarao, Pavan Kumar

Abrégé

Embodiments described herein generally relate to methods of post-treating a silicon-nitride (SiN)-based dielectric film formed on a surface of a substrate. The methods include positioning a substrate in a processing chamber. A dielectric precursor is supplied to the processing chamber. A plasma is provided to the processing chamber, in which the dielectric precursor reacts with a reactive gas in the plasma to form a silicon nitride (SiN)-based dielectric film on the substrate. A bias plasma is applied to the silicon nitride (SiN)-based dielectric film to form a condensed silicon nitride (SiN)-based dielectric film. The condensed dielectric film is cured

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • C23C 16/34 - Nitrures
  • C23C 16/515 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges pulsées
  • C23C 16/56 - Post-traitement

49.

SYSTEM TO ASSIST VACUUM CHUCKING OF A SUBSTRATE

      
Numéro d'application 18643079
Statut En instance
Date de dépôt 2024-04-23
Date de la première publication 2025-10-23
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Fung, Preston
  • Johnston, Benjamin Michael
  • Brunner, Rudolf Christian

Abrégé

A system is disclosed, including a vacuum a chuck configured to secure a substrate. The system further includes a substrate flattening unit configured to apply a downward force to a top surface of the substrate to flatten the substrate on the vacuum chuck. The system further includes one or more sealing members configured to form a vacuum seal between the vacuum chuck and the substrate proximate to one or more peripheral edges of the substrate when the substrate is flattened by the substrate flattening unit.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • B25B 11/00 - Porte-pièces ou dispositifs de mise en position non couverts par l'un des groupes , p. ex. porte-pièces magnétiques, porte-pièces utilisant le vide

50.

SELF-LIMITED ETCHING OF LOW-K MATERIALS

      
Numéro d'application 18643100
Statut En instance
Date de dépôt 2024-04-23
Date de la première publication 2025-10-23
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Zhu, Lala
  • Li, Zihui
  • Wang, Anchuan
  • Ingle, Nitin K.

Abrégé

Exemplary semiconductor processing methods may include providing a hydrogen-containing precursor and a nitrogen-containing precursor to a processing region of a semiconductor processing chamber. A substrate may be disposed within the processing region. The substrate may include a layer of a silicon-containing material. The methods may include forming plasma effluents of the hydrogen-containing precursor and the nitrogen-containing precursor. The methods may include contacting the substrate with plasma effluents of the hydrogen-containing precursor and the nitrogen-containing precursor. The contacting may reduce a carbon concentration, a nitrogen concentration, or both in a portion of the layer of the silicon-containing material. The methods may include providing one or more etchant precursors to the processing region. The methods may include contacting the substrate with the one or more etchant precursors. The contacting may remove the portion of the layer of the silicon-containing material.

Classes IPC  ?

  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/311 - Gravure des couches isolantes
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter

51.

VOLUMETRIC EXPANSION DEPOSITION OF SILICON BASED DIELECTRIC FILM

      
Numéro d'application 18643462
Statut En instance
Date de dépôt 2024-04-23
Date de la première publication 2025-10-23
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Soman, Bhaskar
  • Ghosh, Supriya
  • Wu, Yanze
  • Dhawan, Sukrant
  • Singha Roy, Susmit
  • Singhal, Akhil
  • Mallick, Abhijit B.

Abrégé

The present disclosure provides methods. The methods include forming a precursor film by delivering a precursor to a substrate in a processing chamber having a high aspect ratio opening defining a gap between two or more features of the substrate. An expansion film is formed by treating the precursor film with a plasma. An oxygen-containing compound is delivered to the expansion film to form an oxide gap fill material having a volume that is about 1.1 to about 2.0 greater than an expansion film.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

52.

LOAD LOCK WITH DIAGNOSTIC AND REMEDIATION CAPABILITIES

      
Numéro d'application 18824784
Statut En instance
Date de dépôt 2024-09-04
Date de la première publication 2025-10-23
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Ke, Chang
  • Wang, Changgong
  • Mori, Glen
  • Chiu, Ming-Hui

Abrégé

A load lock including sensing and recovery subsystems to remediate a measured condition within the load lock. The sensing subsystem can use a variety of sensors to measure the conditions within a processing chamber, and a computing subsystem can selectively activate a the recovery subsystem or remediation subsystem of the recovery subsystem to remediate an aspect of the measured conditions, such as chamber contamination, as deemed necessary based on the measured conditions. The remediation subsystem can include several mechanisms, including a gas purge of the chamber. The overall system can work to regulate chamber contamination, wafer contamination, or chamber component integrity.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • G05D 16/20 - Commande de la pression d'un fluide caractérisée par l'utilisation de moyens électriques

53.

FAIL-SAFE CONTROL IN SUBSTRATE PROCESSING SYSTEMS

      
Numéro d'application 19173521
Statut En instance
Date de dépôt 2025-04-08
Date de la première publication 2025-10-23
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Benjamin Raj, Daemian Raj
  • Leng, Collen Ziwei
  • Ali, Hassan
  • Shankaramurthy, Venkatanarayana
  • Standish, Peter Miles
  • Mazzy, Travis Clark
  • Kril, Thorsten
  • Shah, Ashish A
  • Hanchanoor Rathnakara Gowda, Bharath Kumar
  • Konanur Nagendra, Hemanth
  • Jin, Yu

Abrégé

A method includes: performing auto-classification of fluids to be used in a substrate processing system; identifying portions of the substrate processing system; performing positional awareness of the fluids associated with one or more of the portions of the substrate processing system; and causing substrate processing via substrate processing equipment based on the auto-classification, the portions of the substrate processing system, and the positional awareness.

Classes IPC  ?

  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

54.

IN-SITU CONDITIONER DISK CLEANING DURING CMP

      
Numéro d'application 19257301
Statut En instance
Date de dépôt 2025-07-01
Date de la première publication 2025-10-23
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Wu, Haosheng
  • Chang, Shou-Sung
  • Tang, Jianshe
  • Oh, Jeonghoon
  • Pollard, Chad
  • Chou, Chih Chung
  • Cui, Ningzhuo
  • Chen, Hui

Abrégé

A polishing system includes a platen to hold a polishing pad, a carrier head to hold a substrate against the polishing pad, a conditioner including a conditioner head to hold a conditioner disk against the polishing pad, a motor to move the conditioner head laterally movable relative to the platen, a conditioning disk cleaning station positioned adjacent the platen to clean the conditioning disk, and a controller configured to cause the motor to, during polishing of the substrate, move the conditioner head back and forth between a first position with the conditioner head over the polishing pad and a second position with the conditioner head in the conditioner disk cleaning station.

Classes IPC  ?

  • B24B 53/017 - Dispositifs ou moyens pour dresser, nettoyer ou remettre en état les outils de rodage
  • B24B 37/04 - Machines ou dispositifs de rodageAccessoires conçus pour travailler les surfaces planes
  • B24B 53/00 - Dispositifs ou moyens pour dresser ou remettre en état des surfaces abrasives
  • B24B 53/007 - Nettoyage des meules
  • B24B 53/12 - Outils à dresserLeurs porte-outils

55.

OLED sub-pixel circuit architecture and related methods

      
Numéro d'application 18826732
Numéro de brevet 12453252
Statut Délivré - en vigueur
Date de dépôt 2024-09-06
Date de la première publication 2025-10-21
Date d'octroi 2025-10-21
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Lin, Yu-Hsin
  • Chen, Chung-Chia
  • Choung, Ji Young
  • Wang, Sheng-Wen

Abrégé

The present disclosure provides devices and methods thereof. The devices include a substrate. An inorganic layer is disposed on the substrate. The inorganic layer defines sub-pixels of the device. The inorganic layer includes a plurality of overhang structures. The device includes a first sub-pixel, including a first anode having a first thickness, where a first organic light emitting diode (OLED) material is disposed over the first anode. The device includes a second sub-pixel, including a second anode having a second thickness, where a second OLED material is disposed over the second anode. The device includes a third sub-pixel, including a third anode having a third thickness, where a third OLED material is disposed over the third anode. The first thickness is greater than the second thickness, and the first OLED material and the second OLED material are the same.

Classes IPC  ?

  • H10K 59/122 - Structures ou couches définissant le pixel, p. ex. bords
  • H10K 59/38 - Dispositifs spécialement adaptés à l'émission de lumière multicolore comprenant des filtres de couleur ou des supports changeant de couleur [CCM]
  • H10K 59/80 - Détails de structure

56.

OLED PIXEL STRUCTURES

      
Numéro d'application 18750305
Statut En instance
Date de dépôt 2024-06-21
Date de la première publication 2025-10-16
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Choung, Ji Young
  • Chen, Chung-Chia
  • Wang, Sheng-Wen
  • Lin, Yu-Hsin
  • Kim, Si Kyoung
  • Lahiri, Indrajit

Abrégé

The present disclosure provides devices and methods thereof. An inorganic layer is disposed on a substrate, in which the inorganic layer defines sub-pixels of the device. The inorganic layer includes a plurality of overhang structures. The device includes a plurality of sub-pixels, each sub-pixel including an anode, an organic light-emitting diode (OLED) material disposed over and in contact with the anode, a cathode disposed over and in contact with the OLED material, and an encapsulation layer disposed over the OLED material. A global passivation layer disposed over and in direct contact with a first overhang structure of the plurality of overhang structures, a second overhang structure of the plurality of overhang structures, and the encapsulation layer of each of the sub-pixels.

Classes IPC  ?

  • H10K 59/80 - Détails de structure
  • H10K 59/12 - Affichages à OLED à matrice active [AMOLED]
  • H10K 59/122 - Structures ou couches définissant le pixel, p. ex. bords

57.

CHAMBER LINERS AND CHAMBER KITS TO REDUCE EDGE ROLL OFF FOR PROCESSING CHAMBERS

      
Numéro d'application 19083814
Statut En instance
Date de dépôt 2025-03-19
Date de la première publication 2025-10-16
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Palaty, Abraham
  • Chang, Youngki
  • Lau, Shu-Kwan

Abrégé

The present disclosure relates to liners having flow openings, and related chamber kits, processing chambers, and methods for semiconductor manufacturing. In one or more embodiments, a liner for a processing chamber is provided. The liner includes an inner face, an outer face opposing the inner face, a first portion defining at least part of the outer face and the inner face, and a second portion defining at least part of the outer face and the inner face, the second portion opposing the first portion, and the second portion and the first portion having an azimuthal angle greater than 150 degrees. The liner further includes an gas inlet opening extending into the inner face and into the first portion, and a plurality of gas exhaust openings extending into the second portion. A profile of the gas exhaust openings extend into the first portion on opposing sides of the first portion.

Classes IPC  ?

  • C30B 25/08 - Enceintes de réactionEmploi d'un matériau spécifié à cet effet
  • C30B 25/14 - Moyens d'introduction et d'évacuation des gazModification du courant des gaz réactifs

58.

EPI ISOLATION PLATE AND PARALLEL BLOCK PURGE FLOW TUNING FOR GROWTH RATE AND UNIFORMITY

      
Numéro d'application 19247322
Statut En instance
Date de dépôt 2025-06-24
Date de la première publication 2025-10-16
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Cong, Zhepeng
  • Sheng, Tao
  • Sanchez, Errol Antonio C.
  • Rice, Mike
  • Smith, Nimrod
  • Atanos, Ashur J.

Abrégé

A method and apparatus for processing substrates suitable for use in semiconductor manufacturing. The method includes heating a substrate positioned on a substrate support. The method includes flowing a purge gas over an isolation plate disposed above the substrate, the flowing the purge gas including diverting a portion of the purge gas below the isolation plate through a plurality of perforations in the isolation plate. The method includes flowing one or more process gases over the substrate to deposit a material on the substrate, the flowing of the one or more process gases over the substrate comprising guiding the one or more process gases through one or more flow paths defined at least in part by a space between the isolation plate and the substrate.

Classes IPC  ?

  • C30B 25/14 - Moyens d'introduction et d'évacuation des gazModification du courant des gaz réactifs
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/46 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour le chauffage du substrat
  • C30B 25/10 - Chauffage de l'enceinte de réaction ou du substrat

59.

CHEMICAL MECHANICAL POLISHING CORRECTION TOOL

      
Numéro d'application 19248194
Statut En instance
Date de dépôt 2025-06-24
Date de la première publication 2025-10-16
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Gurusamy, Jay
  • Zuniga, Steven M.

Abrégé

A chemical mechanical polishing touch-up tool includes a pedestal configured to support a substrate, a plurality of jaws configured to center the substrate on the pedestal, a loading ring to apply pressure to an annular region on a back side of the substrate on the pedestal, a polishing ring to bring a polishing material into contact with an annular region on a front side of the substrate that is aligned with the annular region on the back side of the substrate, and a polishing ring actuator to rotate the polishing ring to cause relative motion between the polishing ring and the substrate.

Classes IPC  ?

  • B24B 37/34 - Accessoires
  • B24B 37/32 - Bagues de retenue
  • B24B 53/017 - Dispositifs ou moyens pour dresser, nettoyer ou remettre en état les outils de rodage

60.

DUAL ZONE PEDESTAL COOLANT DISTRIBUTION SYSTEM

      
Numéro d'application 18637079
Statut En instance
Date de dépôt 2024-04-16
Date de la première publication 2025-10-16
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Li, Liurui
  • Xia, Borui
  • Muthanna, Kuttappa
  • Puttichanda, Bharath Bopanna

Abrégé

Embodiments of the present invention generally relate to fluid circuit for the use in a pre-cleaning chamber. In one embodiment, a fluid circuit for a substrate support assembly includes a substrate support. The substrate support includes an inner zone and an outer zone. The inner zone includes one or more inner channels and the outer zone includes one or more outer channels. The fluid circuit further includes, a first cooling channel fluidly coupled to the inner zone and a second cooling channel fluidly coupled to the outer zone. The fluid circuit further includes, a heater and one or more valves operable to switch between a first state and a second state. In the first state, the one or more valves fluidly couple the first cooling channel to the heater. In the second state, the one or more valves fluidly couple the second cooling channel to the heater.

Classes IPC  ?

  • B23Q 11/10 - Dispositions pour le refroidissement ou la lubrification des outils ou des pièces travaillées
  • B23Q 3/10 - Dispositifs auxiliaires, p. ex. collets, allonges

61.

METHODS FOR BONDING SEMICONDUCTOR SUBSTRATES

      
Numéro d'application 18631810
Statut En instance
Date de dépôt 2024-04-10
Date de la première publication 2025-10-16
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s) Jeon, Yoocharn

Abrégé

Methods for preparing a substrate and bonding two substrates together which include a bonding layer disposed directly over and in contact with a barrier layer in which the bonding layer has a higher water diffusivity than the barrier layer. A substrate and a bonded pair of substrates is also disclosed.

Classes IPC  ?

  • H01L 21/20 - Dépôt de matériaux semi-conducteurs sur un substrat, p. ex. croissance épitaxiale
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/324 - Traitement thermique pour modifier les propriétés des corps semi-conducteurs, p. ex. recuit, frittage

62.

METHOD FOR ETCHING RUTHENIUM

      
Numéro d'application 18631989
Statut En instance
Date de dépôt 2024-04-10
Date de la première publication 2025-10-16
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Luo, Zhiren
  • Liao, Lei
  • Kim, Jeong Hwan
  • Fu, Qian

Abrégé

Disclosed herein are methods for etching ruthenium (Ru) at low temperatures, and a processing chamber for performing the same. In one example, a method for etching ruthenium (Ru), includes exposing a portion of a substrate containing an exposed Ru layer to a processing gas comprising a halogen containing gas and an oxygen containing gas, wherein the substrate is disposed on a substrate support in a processing chamber; and maintaining a temperature of the substrate support between −90° C. and 20° C. while exposing the portion of the Ru layer to the halogen containing gas.

Classes IPC  ?

  • H01L 21/3213 - Gravure physique ou chimique des couches, p. ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

63.

ELECTRICAL FEEDTHROUGH CONNECTOR FOR A SUBSTRATE SUPPORT ASSEMBLY

      
Numéro d'application 18632053
Statut En instance
Date de dépôt 2024-04-10
Date de la première publication 2025-10-16
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Sulyman, Alexander
  • Cho, Jaeyong
  • Prouty, Stephen Donald
  • Noujaim, Andrew Antoine
  • Perez Guzman, Martin

Abrégé

Disclosed are an electrical feedthrough connector, a substrate support assembly, and a method for feeding electrical signals to a processing chamber. The electrical feedthrough connector includes a first receptacle including a first electrical socket; an interface body, and a second receptacle including a second electrical socket. The interface body includes a first side, a second side, and a solid part disposed between the first side and the second side. The first side is coupled with the first receptacle, and the second receptacle is coupled with the second side. The solid part includes a conductive path embedded in a plurality of dielectric layers; and the conductive path is configured to provide an electrical connection between the first electrical socket and the second electrical socket. The substrate support assembly includes the electrical feedthrough connector. The method utilizes the electrical feedthrough connector to provide electrical signals to electrodes of an electrostatic chuck.

Classes IPC  ?

  • H01R 31/06 - Pièces intermédiaires pour joindre deux pièces de couplage, p. ex. adaptateur
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H02N 13/00 - Embrayages ou dispositifs de maintien utilisant l'attraction électrostatique, p. ex. utilisant l'effet Johnson-Rahbek

64.

METHOD OF LOW-TEMPERATURE N-TYPE SELECTIVE SILICON EPITAXY

      
Numéro d'application 18632863
Statut En instance
Date de dépôt 2024-04-11
Date de la première publication 2025-10-16
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Huang, Yi-Chiau
  • Jewell, Jason

Abrégé

Semiconductor devices and methods for manufacturing semiconductor devices that include low temperature selective deposition of epitaxial silicon-containing films are provided. The method includes performing a first deposition process, a second deposition process subsequent to the first deposition process, and an etch process. The first deposition process includes forming an n-type doped semiconductor layer including a first n-type dopant on an exposed surface of a substrate. The second deposition process includes forming an n-type doped capping layer on the doped semiconductor layer, the n-type doped capping layer including a second n-type dopant different from the first n-type dopant. The etch process selectively removing an amorphous portion of the n-type doped semiconductor layer and an amorphous portion of the n-type doped capping layer, and leaving an epitaxial portion of the n-type doped semiconductor layer and an epitaxial portion of the n-type doped capping layer.

Classes IPC  ?

  • H01L 29/167 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, mis à part les matériaux de dopage ou autres impuretés, seulement des éléments du groupe IV de la classification périodique, sous forme non combinée caractérisés en outre par le matériau de dopage
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/3065 - Gravure par plasmaGravure au moyen d'ions réactifs

65.

Detection of Space Charge Effect During Ion Implantation

      
Numéro d'application 18633764
Statut En instance
Date de dépôt 2024-04-12
Date de la première publication 2025-10-16
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Lai, Chien-Lun
  • Chu, Tzu-Ping
  • Fang, Hsin-Hsiang
  • Lin, Chen-Hsiang
  • Chan, Chia-Ling
  • Chen, Chung-Hsiung
  • Chen, Tsung-Liang
  • Duchaine, Julian

Abrégé

A system and method to measure beam height during an ion implant process is disclosed. The ion implanter includes one or more current sensors located in the process chamber behind the platen. In this way, each time the platen is scanned, the one or more current sensors measure beam current. This measured beam current and the scan position of the platen associated with each measurement may be used to calculate the height of the ion beam. In some embodiments, for improved accuracy, the slope of the measured beam current with respect to scan position is used to determine the beam height. Immediate detection of beam height may be used to minimize the number of workpieces that are misprocessed.

Classes IPC  ?

  • H01J 37/244 - DétecteursComposants ou circuits associés
  • H01J 37/147 - Dispositions pour diriger ou dévier la décharge le long d'une trajectoire déterminée
  • H01J 37/317 - Tubes à faisceau électronique ou ionique destinés aux traitements localisés d'objets pour modifier les propriétés des objets ou pour leur appliquer des revêtements en couche mince, p. ex. implantation d'ions

66.

VAPOR DEPOSITION CHAMBER WITH BLOCKER PLATE

      
Numéro d'application 18634388
Statut En instance
Date de dépôt 2024-04-12
Date de la première publication 2025-10-16
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Patel H B, Shashidhara
  • Ramadas, Rakesh
  • Mustafa, Muhannad
  • Sahu, Amit
  • Baluja, Sanjeev
  • Golcha, Janisht

Abrégé

Thermal bases for gas distribution assemblies, gas distribution assemblies incorporating the thermal bases, and process chambers incorporating the gas distribution assemblies are described. The thermal bases have an annular slit formed in the front surface of the thermal base. The annular slit forms a boundary between the inner portion and outer portion of the thermal base. Processing methods using the thermal base for temperature uniformity improvement are also described.

Classes IPC  ?

  • C23C 16/46 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour le chauffage du substrat
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction

67.

METHODS FOR EXTENDING MWBC IN SEMICONDUCTOR PROCESSING CHAMBERS

      
Numéro d'application 18634504
Statut En instance
Date de dépôt 2024-04-12
Date de la première publication 2025-10-16
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Yang, Tsung-Han
  • Liu, Zhen
  • Yang, Yixiong
  • Gao, Xingyao
  • Deng, Yu-Heng
  • Hwang, Ya-Hsi
  • Ching, Chi H.
  • Thareja, Gaurav
  • Wang, Rongjun

Abrégé

Molybdenum deposition methods including depositing molybdenum on one or more wafers in a dry cleaned and conditioned processing chamber to a predetermined total deposition thickness, an amount of particle adders on the one or more wafers increasing with deposition thickness from a first amount to a second amount; and exposing the processing chamber to a plasma treatment to reduce an amount of particle adders formed on subsequent wafers to a third amount below the second amount, the plasma treatment extending a time period between a dry cleaning and re-conditioning of the processing chamber.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/06 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le dépôt d'un matériau métallique
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/50 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement au moyen de décharges électriques
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p. ex. condensation

68.

METHODS FOR IMPROVING THROUGHPUT AND GAPFILL QUALITY FOR METAL DEPOSITION

      
Numéro d'application 18636461
Statut En instance
Date de dépôt 2024-04-16
Date de la première publication 2025-10-16
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Yang, Tsung-Han
  • Liu, Zhen
  • Ulavi, Tejas Umesh
  • Sangadala, Gayathri
  • Gao, Xingyao
  • Wang, Rongjun
  • Tang, Xianmin

Abrégé

A metal deposition method including exposing a substrate surface having at least one feature thereon to one or more deposition cycle, each deposition cycle including a metal precursor exposure portion and a reducing agent exposure portion, the metal precursor exposure portion including a flow of a metal precursor and a pulsed low-power RF plasma having a pulsed RF power of 100 W or less, the reducing agent exposure portion including a flow of a reducing agent and a high-power plasma having an RF power of 300 W or higher.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence
  • C23C 16/52 - Commande ou régulation du processus de dépôt

69.

Heated Lid Ring for Chamber Wall Temperature Control

      
Numéro d'application 18637359
Statut En instance
Date de dépôt 2024-04-16
Date de la première publication 2025-10-16
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Yousif, Imad
  • O'Malley, Iii, John Anthony
  • Ludwig, Jeffrey
  • Nesarkar, Santosh

Abrégé

Embodiments of lid assemblies for a process chamber are provided herein. In some embodiments, a lid assembly for a process chamber includes: a dielectric lid plate coupled to a first heater having one or more resistive heating elements disposed therein that are configured to heat the dielectric lid plate; a lid ring disposed about the dielectric lid plate and configured to hold the dielectric lid plate, wherein the lid ring includes a first heater ring disposed at an inner end of the lid ring and about the dielectric lid plate that includes a second heater comprising one or more resistive heating elements and wherein a radially inner surface of the first heater ring is spaced from an opposing radially outer surface of the dielectric lid plate so that the first heater ring is not in direct contact with the dielectric lid plate.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

70.

CONTACT CLEANING UNITS IN CMP POLISHER

      
Numéro d'application 18632450
Statut En instance
Date de dépôt 2024-04-11
Date de la première publication 2025-10-16
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Wu, Haosheng
  • Chang, Shou-Sung
  • Deshpande, Sameer A.
  • Jain, Asheesh
  • Wong, Justin H.
  • Huey, Sidney P.
  • Witty, Derek R.
  • Tang, Jianshe
  • Chen, Chih Hung
  • Oh, Jeonghoon
  • Pollard, Chad
  • Chen, Hui

Abrégé

Embodiments of the disclosure provided herein include systems and methods for reducing substrate transfer and air time in chemical mechanical polishing systems. The system includes one or more polishing stations disposed within a polishing module, a contact cleaning unit disposed adjacent to the one or more polishing stations, and a controller. The controller is configured to transfer the substrate to a first polishing station of the one or more polishing stations, transfer the substrate to the contact cleaning unit from the first polishing station, and clean the substrate using a contact cleaning method. A method includes placing a substrate on a first non-contact cleaning unit cleaning the substrate using a first non-contact cleaning method, transferring the substrate to a first polishing station, transferring the substrate to a contact cleaning unit from the first polishing station, and cleaning the substrate using a contact cleaning method.

Classes IPC  ?

  • B24B 37/34 - Accessoires
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

71.

TREATMENT CHAMBER WITH MODULAR MICROWAVE POWER DELIVERY

      
Numéro d'application 18633158
Statut En instance
Date de dépôt 2024-04-11
Date de la première publication 2025-10-16
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Franklin, Timothy Joseph
  • Yazdani, Sajad
  • Achkasov, Kostiantyn
  • Chang, Xue Yang

Abrégé

Embodiments disclosed herein include a source array. In an example, a source array includes a dielectric plate having a surface. A plurality of resonators is on the surface of the dielectric plate. The source array also includes a plurality of power amplifiers. Each one of the plurality of power amplifiers is coupled to a corresponding one of the plurality of resonators.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

72.

CONTROLLED ETCH OF SILICON NITRIDE MATERIAL

      
Numéro d'application 18636684
Statut En instance
Date de dépôt 2024-04-16
Date de la première publication 2025-10-16
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Sherpa, Sonam Dorje
  • Ranjan, Alok

Abrégé

Exemplary semiconductor processing methods may include flowing a fluorine-containing precursor into a processing region of a semiconductor processing chamber. A substrate may be positioned within the processing region. The substrate may include a layer of a silicon-and-nitrogen-containing material. The methods may include contacting the substrate with the fluorine-containing precursor. The contacting may form a fluorinated portion of the silicon-and-nitrogen-containing material. The methods may include flowing an inert precursor into the processing region of the semiconductor processing chamber. The methods may include forming plasma effluents of the inert precursor. The methods may include contacting the substrate with the plasma effluents of the inert precursor. The contacting may remove the fluorinated portion of the silicon-and-nitrogen-containing material. The method may be performed at a chamber operating temperature of less than or about 20° C.

Classes IPC  ?

73.

REINFORCEMENT LEARNING FOR SUBSTRATE PROCESSING FACILITY

      
Numéro d'application 18782510
Statut En instance
Date de dépôt 2024-07-24
Date de la première publication 2025-10-16
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Norman, David Everton
  • Yedidsion, Harel Moshe
  • Dawadi, Prafulla Nath

Abrégé

A method includes identifying current state data associated with a substrate processing facility including one or more higher-yield tools and one or more lower-yield tools that have a lower yield than the one or more higher-yield tools. The method further includes providing the current state data as input to a trained reinforcement learning agent. The method further includes receiving, from the trained reinforcement learning agent, output associated with parameters. The method further includes causing, based on the parameters, maximizing of lot processing on the one or more higher-yield tools while meeting one or more threshold production values.

Classes IPC  ?

  • G05B 13/02 - Systèmes de commande adaptatifs, c.-à-d. systèmes se réglant eux-mêmes automatiquement pour obtenir un rendement optimal suivant un critère prédéterminé électriques

74.

METHODS FOR EUV DRY DEVELOPMENT

      
Numéro d'application 19089694
Statut En instance
Date de dépôt 2025-03-25
Date de la première publication 2025-10-16
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Kazem, Nasrin
  • Worku, Bekele
  • Kalutarage, Lakmal C.
  • Sachan, Madhur
  • Potter, Jayden
  • Bagby, Lauren
  • Kaliappan, Muthukumar

Abrégé

Embodiments of the present disclosure generally relate to methods for dry developing photoresists, such as metal-oxo photoresists. In one or more embodiments, a method of developing a photoresist is provided and includes exposing a workpiece containing a patterned metal-oxo photoresist disposed on a substrate to a first treatment gas containing a fluorinating agent during a first treatment process and ceasing the exposure of the workpiece of the first treatment gas. The method further includes exposing the workpiece to a second treatment gas containing an organic acid during a second treatment process, the second treatment process contains repeating a treatment cycle one or more times. The treatment cycles includes exposing the workpiece to the second treatment gas, ceasing the exposure of the workpiece of the second treatment gas, exposing the workpiece to a purge gas, and then ceasing the exposure of the workpiece of the purge gas.

Classes IPC  ?

  • G03F 7/36 - Dépouillement selon l'image non couvert par les groupes , p. ex. utilisant un courant gazeux, un plasma
  • G03F 7/004 - Matériaux photosensibles

75.

INSERTION OF ETCH STOPPER LAYER FOR OLED ADVANCED PATTERNING

      
Numéro d'application 19092705
Statut En instance
Date de dépôt 2025-03-27
Date de la première publication 2025-10-16
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Wang, Sheng-Wen
  • Chen, Chung-Chia
  • Choung, Ji Young
  • Lin, Yu-Hsin

Abrégé

Embodiments described herein relate to sub-pixel circuits and methods of forming sub-pixel circuits that may be utilized in a display such as an OLED display. The sub-pixel includes adjacent pixel structures disposed over a substrate and exposing a metal-containing layer of an anode, adjacent overhang structures disposed over an upper surface of the pixel structures, the overhang structures define the sub-pixel and include a first structure disposed over the upper surface of pixel structures, the first structure having a first composition, and a second structure disposed over the first structure, the second structure including an extension extending laterally past a sidewall of first structure, and a protective layer disposed between the pixel structures and the first structure of the overhang structures, and the protective layer includes a metal-containing material having a greater etch resistivity than the first composition of the first structure.

Classes IPC  ?

  • H10K 59/122 - Structures ou couches définissant le pixel, p. ex. bords
  • H10K 59/12 - Affichages à OLED à matrice active [AMOLED]
  • H10K 59/80 - Détails de structure
  • H10K 71/20 - Modification de la forme de la couche active dans les dispositifs, p. ex. mise en forme
  • H10K 102/10 - Électrodes transparentes, p. ex. utilisant du graphène

76.

INVERSE TAPER OVERHANG

      
Numéro d'application 19176434
Statut En instance
Date de dépôt 2025-04-11
Date de la première publication 2025-10-16
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Chen, Chung-Chia
  • Wang, Sheng-Wen
  • Choung, Ji Young
  • Lin, Yu-Hsin
  • Lee, Jungmin

Abrégé

In some embodiments, the present disclosure provides devices. The devices include a backplane. A plurality of overhang structures are disposed over the backplane. Each overhang structure is defined by a top extension of a top structure extending laterally past a bottom structure. The bottom structure is disposed over the backplane. Adjacent overhang structures of the plurality of overhang structures define a plurality of sub-pixels. The bottom structure includes a first sub-layer having a lower surface and an upper surface width, in which the first sub-layer is disposed over the backplane. A second sub-layer has a top surface width that is greater than a bottom surface width is disposed over the first sub-layer. Each sub-pixel includes an organic light-emitting diode (OLED) material is disposed under the adjacent overhang structures. A cathode is disposed over the OLED material and under the adjacent overhang structures.

Classes IPC  ?

  • H10K 59/122 - Structures ou couches définissant le pixel, p. ex. bords
  • H10K 59/80 - Détails de structure
  • H10K 102/10 - Électrodes transparentes, p. ex. utilisant du graphène

77.

SYSTEM AND METHOD FOR CONTROLLING FORELINE PRESSURE

      
Numéro d'application 19246859
Statut En instance
Date de dépôt 2025-06-24
Date de la première publication 2025-10-16
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Downey, Ryan T.
  • L'Heureux, James
  • Mathew, Rony David

Abrégé

A system and method for controlling pressure in a common foreline coupled a processing systems is disclosed herein which reduce variation of pressure in the common foreline. In one example, a processing system is provided that includes a first process chamber, a first pump, a first foreline segment, and a first foreline pressure control system. The first pump is coupled to a first exhaust port of the first process chamber. The processing system further includes a second process chamber, a second pump, a second foreline segment, and a second foreline pressure control system. The second pump coupled to a second exhaust port of the second process chamber. The common foreline is coupled downstream of the first pump and the second pump. The first and second foreline pressure control system is operable to control the pressure in the common foreline independent from operation of the first or second pump.

Classes IPC  ?

  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

78.

PROCESS KITS AND RELATED METHODS FOR PROCESSING CHAMBERS TO FACILITATE DEPOSITION PROCESS ADJUSTABILITY

      
Numéro d'application 19250922
Statut En instance
Date de dépôt 2025-06-26
Date de la première publication 2025-10-16
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Cong, Zhepeng
  • Moradian, Ala
  • Sheng, Tao
  • Smith, Nimrod
  • Atanos, Ashur J.
  • Tran, Vinh N.

Abrégé

The present disclosure relates to flow guides, process kits, and related methods for processing chambers to facilitate deposition process adjustability. In one implementation, a flow guide applicable for use in semiconductor manufacturing, includes a plate having a first face and a second face opposing the first face. The flow guide includes a first fin set extending from the second face, and a second fin set extending from the second face. The second fin set is spaced from the first fin set to define a flow path between the first fin set and the second fin set. The flow path has a serpentine pattern between the first fin set and the second fin set.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 14/56 - Appareillage spécialement adapté au revêtement en continuDispositifs pour maintenir le vide, p. ex. fermeture étanche
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • C30B 25/10 - Chauffage de l'enceinte de réaction ou du substrat
  • C30B 25/12 - Porte-substrat ou supports
  • C30B 25/14 - Moyens d'introduction et d'évacuation des gazModification du courant des gaz réactifs
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p. ex. mandrins, pièces de serrage, pinces

79.

CONTROL OF PROCESSING PARAMETERS FOR SUBSTRATE POLISHING WITH SUBSTRATE PRECESSION

      
Numéro d'application 19251425
Statut En instance
Date de dépôt 2025-06-26
Date de la première publication 2025-10-16
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Lau, Eric
  • Garretson, Charles C.
  • Zhang, Huanbo
  • Zhu, Zhize
  • Cherian, Benjamin
  • Brown, Brian J.
  • Osterheld, Thomas H.

Abrégé

Generating a recipe for a polishing process includes receiving a target removal profile that includes a target thickness to remove for locations spaced angularly around a center of a substrate, storing a first function providing substrate orientation relative to a carrier head over time, storing a second function defining a polishing rate below a zone of the zone as a function of one or more pressures of one or more zones of the carrier head, and for each particular zone of the plurality of zones, calculate a recipe defining a pressure for the particular zone over time. Calculating the recipe includes calculating an expected thickness profile after polishing from the second function defining the polishing rate and the first function providing substrate orientation relative to the zone over time, and applying a minimizing algorithm to reduce a difference between the expected thickness profile and the target thickness profile.

Classes IPC  ?

  • B24B 37/005 - Moyens de commande pour machines ou dispositifs de rodage
  • B24B 49/00 - Appareillage de mesure ou de calibrage pour la commande du mouvement d'avance de l'outil de meulage ou de la pièce à meulerAgencements de l'appareillage d'indication ou de mesure, p. ex. pour indiquer le début de l'opération de meulage
  • B24B 49/12 - Appareillage de mesure ou de calibrage pour la commande du mouvement d'avance de l'outil de meulage ou de la pièce à meulerAgencements de l'appareillage d'indication ou de mesure, p. ex. pour indiquer le début de l'opération de meulage impliquant des dispositifs optiques
  • B24B 49/16 - Appareillage de mesure ou de calibrage pour la commande du mouvement d'avance de l'outil de meulage ou de la pièce à meulerAgencements de l'appareillage d'indication ou de mesure, p. ex. pour indiquer le début de l'opération de meulage tenant compte de la pression de travail
  • G05B 13/04 - Systèmes de commande adaptatifs, c.-à-d. systèmes se réglant eux-mêmes automatiquement pour obtenir un rendement optimal suivant un critère prédéterminé électriques impliquant l'usage de modèles ou de simulateurs
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

80.

Mainframe of dual-robot substrate processing system

      
Numéro d'application 29942613
Numéro de brevet D1098220
Statut Délivré - en vigueur
Date de dépôt 2024-05-16
Date de la première publication 2025-10-14
Date d'octroi 2025-10-14
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Rice, Michael R.
  • Kuchar, Michael C.
  • Morey, Travis
  • Wyatt, Adam J.
  • Amir, Ofer

81.

REMOTE PLASMA SOURCES

      
Numéro d'application 19075466
Statut En instance
Date de dépôt 2025-03-10
Date de la première publication 2025-10-09
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Pelpa, Jia
  • Forth, Peter
  • Balooch, Mehdi
  • Burrows, Brian
  • Constant, Andy
  • Karazim, Michael
  • Deemer, Brian
  • Lim, Greg
  • Saeedfar, Amin
  • Alon, Roza

Abrégé

Embodiments are directed to a remote plasma system. In an example, a remote plasma system includes a first tube, a second tube, a first isolation component coupled between a first end of the first tube and a first end of the second tube, a second isolation component coupled between a second end of the first tube and a second end of the second tube, and a first capacitive element coupled to the first isolation component. In one example, the second tube and the first tube together can have a circular or oval shape. In one example, a first magnetic core is surrounding a portion of the first tube proximate the first isolation component, a second magnetic core is surrounding a portion of the first tube proximate the second isolation component, a third magnetic core is surrounding a portion of the second tube proximate the first isolation component, and a fourth magnetic core is surrounding a portion of the second tube proximate the second isolation component.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

82.

HIGH-DENSITY PLASMA (HDP) TOPOGRAPHY IMPROVEMENT WITH PARTIAL GAPFILL CARBON

      
Numéro d'application 19092673
Statut En instance
Date de dépôt 2025-03-27
Date de la première publication 2025-10-09
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Khaja, Abdul Aziz
  • Xia, Li-Qun
  • Liang, Jingmei
  • Kamath, Sanjay G.
  • Lee, Ming-Jer

Abrégé

Embodiments disclosed herein generally relate to high-density plasma (HDP) deposition and other gapfilling processes for semiconductor manufacturing. The process includes depositing a carbon gapfill layer into one or more trenches formed in an oxide layer of a semiconductor device structure, etching at least a portion of the oxide layer, etching, via a plasma-based etch process, the carbon gapfill layer, and performing a chemical mechanical polishing (CMP) process to planarize the oxide layer. Implementing such processes for HDP deposition and gapfilling results in various improvements in the manufacturing of semiconductor substrates.

Classes IPC  ?

  • C23C 16/04 - Revêtement de parties déterminées de la surface, p. ex. au moyen de masques
  • H01L 21/306 - Traitement chimique ou électrique, p. ex. gravure électrolytique
  • H01L 21/3065 - Gravure par plasmaGravure au moyen d'ions réactifs
  • H01L 21/311 - Gravure des couches isolantes

83.

INTEGRATED SUBSTRATE THINNING

      
Numéro d'application 19171657
Statut En instance
Date de dépôt 2025-04-07
Date de la première publication 2025-10-09
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Cherian, Benjamin Jacob
  • Grant, Devika Sarkar
  • Zou, Xiaoqun
  • Lau, Eric Lee
  • Randhawa, Jatinder Bir Singh
  • Cao, Bocheng
  • Gajjar, Palash

Abrégé

A method includes identifying a substrate thickness map of a substrate thinned via one or more chemical mechanical planarization (CMP) operations. The method further includes causing, based on the substrate thickness map, additional thinning of the substrate via etching of the substrate.

Classes IPC  ?

  • H01L 21/3105 - Post-traitement
  • G06N 5/022 - Ingénierie de la connaissanceAcquisition de la connaissance
  • H01L 21/306 - Traitement chimique ou électrique, p. ex. gravure électrolytique

84.

HYBRID PIXEL STRUCTURE FOR MICROLED APPLICATIONS

      
Numéro d'application 19171894
Statut En instance
Date de dépôt 2025-04-07
Date de la première publication 2025-10-09
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Pieralisi, Fabio
  • Li, Zhiyong
  • Xu, Lisong
  • Ding, Kai
  • Ng, Hou T.
  • Sridhar, Uma
  • Zhu, Mingwei
  • Patibandla, Nag

Abrégé

A method of forming a micro light-emitting diode (microLED) array may include forming pixel isolation structures on a sacrificial substrate, and mounting the microLEDs on a separate backplane. The processes that forms the pixel isolation structures, and which may damage the backplane or microLEDs can be separately performed on the sacrificial substrate. The pixel isolation structures can then be attached to the backplane and the sacrificial substrate can be removed. This allows the formation of the pixel isolation structures to be isolated, the microLEDs to be tested early in the process, and the interface between the microLEDs and subsequent layers to be free of adhesive.

Classes IPC  ?

  • H10H 29/856 - Moyens réfléchissants
  • H01L 25/075 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans une seule des sous-classes , , , , ou , p. ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
  • H10H 29/01 - Fabrication ou traitement
  • H10H 29/24 - Ensembles de plusieurs dispositifs comprenant au moins un composant émetteur de lumière à semi-conducteurs couvert par le groupe comprenant plusieurs dispositifs émetteurs de lumière à semi-conducteurs

85.

MEASUREMENT REGIONS AND SUBSTRATE SUPPORT ASSEMBLIES FOR PROPERTY MEASUREMENTS

      
Numéro d'application 18625924
Statut En instance
Date de dépôt 2024-04-03
Date de la première publication 2025-10-09
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Cong, Zhepeng
  • Smith, Nimrod
  • Sheng, Tao
  • Paul, Khokan C.

Abrégé

Embodiments of the present disclosure relate to measurement substrates and substrate support assemblies for property measurements. In one or more embodiments, a substrate support assembly includes a substrate support, and a first insert sized and shaped for positioning in a first opening of the substrate support. The first insert includes a first measurement region.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p. ex. mandrins, pièces de serrage, pinces

86.

DELIVERY OF PULSED VOLTAGE WAVEFORMS TO IMPROVE STEP COVERAGE AND DAMAGE CONTROL

      
Numéro d'application 18626738
Statut En instance
Date de dépôt 2024-04-04
Date de la première publication 2025-10-09
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Mebarki, Bencherki
  • Lee, Joung Joo

Abrégé

Embodiments of the disclosure include a method for fabricating a semiconductor device, comprising: forming, within a physical vapor deposition (PVD) chamber, a first layer by use of a PVD process on a surface of substrate that comprises a plurality of features formed therein, wherein forming the first layer comprises biasing a target within the process chamber; and etching, within the PVD chamber, at least a portion of the first layer. The etching process comprises: applying a substrate bias to an electrode disposed within a substrate support near a substrate receiving surface, wherein applying the substrate bias comprises delivering a pulsed-voltage (PV) waveform to the electrode; and exposing the substrate to a plasma generated within the PVD chamber.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

87.

Semiconductor Processing System with Horizontal Scan

      
Numéro d'application 18626895
Statut En instance
Date de dépôt 2024-04-04
Date de la première publication 2025-10-09
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s) Madiwal, Nagendra

Abrégé

A process chamber that includes an ion source that directs the ion beam downward is disclosed. The platen is disposed on a movable platen assembly within an enclosure. The movable platen assembly moves horizontally to allow the ion beam to process a workpiece disposed on the platen. The process chamber includes tracks disposed on the bottom surface of the enclosure. The movable platen assembly and the tracks serve as a linear motor, which allows the movable platen assembly to move while levitating. A cluster tool that utilizes a plurality of these process chambers is also disclosed. The cluster tool also includes a front-end system and a distribution hub, wherein a central distribution robot transfers workpieces between a load lock and a platen in one of the process chambers.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p. ex. mandrins, pièces de serrage, pinces
  • H01J 37/18 - Fermetures étanches
  • H01J 37/20 - Moyens de support ou de mise en position de l'objet ou du matériauMoyens de réglage de diaphragmes ou de lentilles associées au support
  • H01J 37/317 - Tubes à faisceau électronique ou ionique destinés aux traitements localisés d'objets pour modifier les propriétés des objets ou pour leur appliquer des revêtements en couche mince, p. ex. implantation d'ions
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

88.

WAVEFORM SIGNAL PACKAGING FOR DATA ANALYSIS AND PROCESS CONTROL

      
Numéro d'application 18626965
Statut En instance
Date de dépôt 2024-04-04
Date de la première publication 2025-10-09
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Wong, Justin H.
  • Pon, Devin

Abrégé

A method for process control by waveform signal packaging at a processor device is provided. The processor device may receive time-based waveform data. The time-based waveform data may include waveform data corresponding to one or more waveform signals in a time domain. The processor device may process the time-based waveform data to convert the time-based waveform data into frequency-based waveform data. The frequency-based waveform data may include the waveform data in a frequency domain. The processor device may package the frequency-based waveform data (and/or the time-based waveform data) into one or more spectrogram image files. Each spectrogram image file may include two or more color channels indicating the waveform data. The processor device may display the one or more spectrogram image files.

Classes IPC  ?

  • G06T 11/20 - Traçage à partir d'éléments de base, p. ex. de lignes ou de cercles
  • G06T 11/00 - Génération d'images bidimensionnelles [2D]

89.

FEATURE CREATION IN SUBSTRATE SUPPORTS

      
Numéro d'application 18626996
Statut En instance
Date de dépôt 2024-04-04
Date de la première publication 2025-10-09
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Chadha, Arvinder Manmohansingh
  • Joshi, Gagandeep Singh
  • Sommers, Joseph Frederick
  • Behnke, Joseph Frederick
  • Beaudry, Christopher Laurent
  • Lei, Wei-Sheng

Abrégé

A method includes receiving a ceramic plate of a substrate support system. The method further includes determining a feature pattern for a surface of the ceramic plate. The method further includes performing laser material processing of the surface of the ceramic plate to form the feature pattern comprising a plurality of features on the surface of the ceramic plate.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • B23K 26/00 - Travail par rayon laser, p. ex. soudage, découpage ou perçage
  • B23K 26/352 - Travail par rayon laser, p. ex. soudage, découpage ou perçage pour le traitement de surface
  • B23K 103/00 - Matières à braser, souder ou découper
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p. ex. mandrins, pièces de serrage, pinces

90.

ELECTROSTATIC CHUCK WITH PERFORATED OR SCREENED CHUCKING ELECTRODE

      
Numéro d'application 18627027
Statut En instance
Date de dépôt 2024-04-04
Date de la première publication 2025-10-09
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s) Chadha, Arvinder Manmohansingh

Abrégé

An electrostatic chuck includes a ceramic puck including a plurality of mesas configured to support a substrate. The electrostatic chuck further includes an electrode disposed within the ceramic puck. The electrode is configured to electrostatically clamp the substrate to the ceramic puck responsive to being energized with a clamping voltage. The electrode includes a plurality of perforations. At least one perforation of the plurality of perforations is disposed beneath at least one mesas of the plurality of mesas.

Classes IPC  ?

  • H02N 13/00 - Embrayages ou dispositifs de maintien utilisant l'attraction électrostatique, p. ex. utilisant l'effet Johnson-Rahbek
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

91.

ALIGNER STATION WITH LIFTING MECHANISM

      
Numéro d'application 18627038
Statut En instance
Date de dépôt 2024-04-04
Date de la première publication 2025-10-09
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s) Hankes, Michael Carl

Abrégé

An aligner station includes an aligner stage configured to support a carrier of a process kit ring. The aligner stage is configured to rotate to correct an alignment of the carrier and of the process kit ring supported by the carrier relative to a target orientation. The aligner station further includes a lift mechanism configured to lift the process kit ring from the carrier. The aligner stage is further configured to rotated while the process kit ring is lifted from the carrier by the lift mechanism to align the carrier with respect to the process kit ring. The lift mechanism is further configured to lower the process kit ring back onto the carrier that is aligned with the process kit ring.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • B25J 11/00 - Manipulateurs non prévus ailleurs
  • H01J 37/244 - DétecteursComposants ou circuits associés

92.

ION IMPLANTER AND LINEAR ACCELERATOR HAVING POLYGONAL BACKBONE

      
Numéro d'application 18627283
Statut En instance
Date de dépôt 2024-04-04
Date de la première publication 2025-10-09
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Webb, Aaron P.
  • Schaller, Jason M.
  • Park, Jr., William Herron
  • Tam, Wai Ming
  • Czajka, Chris
  • Simmons, Michael C.
  • Bonecutter, Luke
  • Blahnik, David T.
  • Carrell, Michael Mason

Abrégé

A linear accelerator apparatus may include a beamline enclosure that defines a polygonal backbone, and a plurality of acceleration stages, disposed along a length of the beamline enclosure. A given acceleration stage may include a drift tube assembly to conduct an ion beam therethrough, a resonator, coupled to deliver an RF signal to the drift tube assembly, and a quadrupole assembly to shape the ion beam. As such, at a first acceleration stage, a first resonator may be disposed along a first side of the polygonal backbone, and at a second acceleration stage, adjacent to and downstream of the first acceleration stage, a second resonator may be disposed along a second side of the polygonal backbone, different from the first side.

Classes IPC  ?

  • H05H 9/04 - Accélérateurs linéaires à ondes stationnaires
  • H01J 37/08 - Sources d'ionsCanons à ions
  • H01J 37/317 - Tubes à faisceau électronique ou ionique destinés aux traitements localisés d'objets pour modifier les propriétés des objets ou pour leur appliquer des revêtements en couche mince, p. ex. implantation d'ions
  • H05H 7/00 - Détails des dispositifs des types couverts par les groupes
  • H05H 7/02 - Circuits ou systèmes d'alimentation en énergie haute fréquence
  • H05H 7/22 - Détails d'accélérateurs linéaires, p. ex. tubes de glissement

93.

SOLID STATE VARIABLE CAPACITORS FOR RF MATCHES

      
Numéro d'application 18628266
Statut En instance
Date de dépôt 2024-04-05
Date de la première publication 2025-10-09
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Guo, Yue
  • Azad, A N M Wasekul
  • Yang, Yang
  • Ramaswamy, Kartik
  • Bright, Nicolas J.

Abrégé

Embodiments of the present disclosure provide a system for fast impedance tuning. The system includes a radio frequency (RF) generator to generate power, a plasma chamber to receive the power from the RF generator and a RF matching network inserted between the RF generator and the plasma chamber configured to match a plasma load impedance to a RF generator impedance using a solid state based variable capacitor. The RF matching network includes a bias circuit that applies a reverse bias to the solid state based variable capacitor to control a capacitance value of the solid state based variable capacitor. The plasma load impedance is matched with the RF generator impedance when pulsed voltage, multi-level pulsing, or RF match ignition tuning is applied.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H03H 7/40 - Adaptation automatique de l'impédance de charge à l'impédance de la source

94.

HETEROGEOUS NEGATIVE ION SOURCE BASED UPON HYDROGEN PLASMA

      
Numéro d'application 18628393
Statut En instance
Date de dépôt 2024-04-05
Date de la première publication 2025-10-09
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s) Becker, Klaus

Abrégé

An ion source assembly. The ion source assembly may include a hydrogen gas source, and an ion source, comprising a plasma chamber, coupled to receive a first flow of hydrogen gas from the hydrogen gas source, the ion source comprising a set of components to generate a plasma within the plasma chamber. The plasma may include a first portion of negative hydrogen ions. The ion source assembly may include a second gas source, separate from the hydrogen gas source, the second gas source being coupled to deliver to the plasma chamber a second flow of a second gas, different from the hydrogen gas. As such, the set of components of the ions source may be further arranged to generate a second portion of second negative ions, different than the first portion of negative hydrogen ions, by reacting the second gas with the first portion of negative hydrogen ions.

Classes IPC  ?

  • H01J 37/317 - Tubes à faisceau électronique ou ionique destinés aux traitements localisés d'objets pour modifier les propriétés des objets ou pour leur appliquer des revêtements en couche mince, p. ex. implantation d'ions
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

95.

SUBSTRATE LOADER AND FRAME ASSEMBLY

      
Numéro d'application 18628430
Statut En instance
Date de dépôt 2024-04-05
Date de la première publication 2025-10-09
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Gopalakrishna, Srinivas Poshatrahalli
  • Reuter, Paul Benjamin
  • Holeyannavar, Devendra Channappa
  • Koshti, Sushant
  • Ramappa, Sandesh Doddamane

Abrégé

A system includes a frame configured to couple to a factory interface. The frame forms a door opening. The system further includes a substrate cassette loader supported by the frame. The substrate cassette loader includes a base portion coupled to the frame and a support portion. The support portion is configured to support a substrate cassette. The support portion is further configured to move between a first open position and a first closed position. The system further includes a door configured to actuate between a second closed position and a second open position within the door opening. When the door is in the second open position, one or more substrates in a cassette supported within the support portion are accessible via the door opening.

Classes IPC  ?

  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p. ex. entre différents postes de travail
  • B25J 11/00 - Manipulateurs non prévus ailleurs
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

96.

Tapered Superjunction with Ultrathin P-Type Material Layer

      
Numéro d'application 18630206
Statut En instance
Date de dépôt 2024-04-09
Date de la première publication 2025-10-09
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s) Nourbakhsh, Amirhasan

Abrégé

Methods and structures relating to tapered superjunction structures with ultrathin p-type regions. In some embodiments, a method may comprise forming an opening in a first n-type material layer on a substrate where the opening has sidewalls with an inward taper of less than 90 degrees, forming a p-type material layer on or into the sidewalls of the first n-type material layer and into a bottom of the opening in the first n-type material layer, removing a portion of the p-type material layer at the bottom of the opening, and depositing a second n-type material layer to fill the opening. In some embodiments, the p-type material layers are formed by doping the sidewalls of the first n-type material layer with a plasma doping process or a solid-state diffusion doping process.

Classes IPC  ?

  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 21/223 - Diffusion des impuretés, p. ex. des matériaux de dopage, des matériaux pour électrodes, à l'intérieur ou hors du corps semi-conducteur, ou entre les régions semi-conductricesRedistribution des impuretés, p. ex. sans introduction ou sans élimination de matériau dopant supplémentaire en utilisant la diffusion dans ou hors d'un solide, à partir d'une ou en phase gazeuse
  • H01L 29/16 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, mis à part les matériaux de dopage ou autres impuretés, seulement des éléments du groupe IV de la classification périodique, sous forme non combinée

97.

CLEANING DEVICE FOR SEMICONDUCTOR AND FLAT PANEL DISPLAY PROCESSING TOOLS

      
Numéro d'application 18630450
Statut En instance
Date de dépôt 2024-04-09
Date de la première publication 2025-10-09
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Hsu, Cheng-Hang
  • Mostovoy, Roman
  • Srivatsa, Arun Ramaswamy

Abrégé

Technologies related to maintaining and cleaning semiconductor processing chamber components and tools are described. A cleaning device may have a first end forming a nozzle and a second end configured to coupled to a particle counter. A first section of the nozzle is attached to a vacuum. A second section of the nozzle is attached to a first contamination removal mechanism of a plurality of contamination removal mechanisms. A third section of the nozzle is attached to a second contamination removal mechanism of the plurality of contamination removal mechanisms. A selection mechanisms selectively enables one or more of the plurality of contamination removal mechanisms.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • B08B 5/04 - Nettoyage par aspiration, avec ou sans action auxiliaire
  • B08B 7/00 - Nettoyage par des procédés non prévus dans une seule autre sous-classe ou un seul groupe de la présente sous-classe
  • B08B 7/04 - Nettoyage par des procédés non prévus dans une seule autre sous-classe ou un seul groupe de la présente sous-classe par une combinaison d'opérations

98.

RIBBON BEAM UNIFORMITY TUNING USING MACHINE LEARNING

      
Numéro d'application 18625828
Statut En instance
Date de dépôt 2024-04-03
Date de la première publication 2025-10-09
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s) Lang, Christopher Ilic

Abrégé

Techniques for ribbon beam tuning segment tuning using machine learning are described. A method comprises receiving a set of control parameters representing configurations of multiple tuning segments of a tuning assembly for an ion implanter, predicting a set of process parameters representing one or more metrics associated with a beam property for an ion beam generated by the ion implanter based on the configurations of the multiple tuning segments using a control model, the control model comprising a forward model using a tuning matrix generated from a set of observations and a covariance matrix, and configuring a set of configurations for the multiple tuning segments based on the set of process parameters, the set of configurations for the multiple tuning segments to cause the ion beam to match a target metric for the ion beam. Other embodiments are described and claimed.

Classes IPC  ?

  • H01J 37/304 - Commande des tubes par une information en provenance des objets, p. ex. signaux de correction
  • H01J 37/317 - Tubes à faisceau électronique ou ionique destinés aux traitements localisés d'objets pour modifier les propriétés des objets ou pour leur appliquer des revêtements en couche mince, p. ex. implantation d'ions

99.

PLASMA SHOWERHEAD ASSEMBLY

      
Numéro d'application 18627065
Statut En instance
Date de dépôt 2024-04-04
Date de la première publication 2025-10-09
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Chen, Hanhong
  • Ulavi, Tejas Umesh
  • Lin, Chi-Chou
  • Baluja, Sanjeev
  • Doering, Kenneth Brian
  • Sangadala, Gayathri

Abrégé

Plasma showerhead assemblies are disclosed comprising a conductive plate having a plurality of conductive plate openings, a dielectric faceplate having a thickness and a plurality of dielectric faceplate gas openings extending through the dielectric faceplate thickness in fluid communication with the plurality of the conductive plate gas openings. A plurality of dummy openings extend through a portion of the faceplate thickness from of the dielectric faceplate.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/511 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c.-à-d. procédés de dépôt chimique en phase vapeur [CVD] caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à micro-ondes

100.

MONOLITHIC GAS DISTRIBUTION ASSEMBLY FOR PROCESS CHAMBERS

      
Numéro d'application 18628421
Statut En instance
Date de dépôt 2024-04-05
Date de la première publication 2025-10-09
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Ponnekanti, Hari Kishen
  • Cho, Tom
  • Liao, Chien-Min
  • Lim, Gregory Phillip
  • Liu, Chao

Abrégé

A monolithic gas distribution assembly includes a first portion configured to receive a low of process gas. The gas distribution assembly further includes a second portion forming a first plenum at least partially between the first portion and the second portion. The first portion forms one or more first gas paths to distribute the flow of process gas into the first plenum. The gas distribution assembly further includes a third portion forming a second plenum at least partially between the second portion and the third portion. The second portion forms one or more second gas paths to distribute the flow of process gas from the first plenum into the second plenum. The third portion further forms one or more third gas paths to distribute the flow of process gas from the second plenum into a process chamber. The first portion, the second portion, and the third portion form a single monolithic body.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitementAppareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • B33Y 10/00 - Procédés de fabrication additive
  • B33Y 70/00 - Matériaux spécialement adaptés à la fabrication additive
  1     2     3     ...     100        Prochaine page